nuvoTon NANO100BN_v1 2024.05.03 NANO100BN_v1 SVD file 8 32 ADC ADC Register Map ADC 0x0 0x0 0x5C registers n 0x60 0x18 registers n ADCCALCTL ADCCALCTL ADC Calibration Control Register 0x68 -1 read-write n 0x0 0x0 CALDONE Calibrate Functional Block Complete 2 1 read-write 0 Not yet #0 1 Selected functional block complete #1 CALEN Calibraion Function Enable\nEnable this bit to turn on the calibration function block. 0 1 read-write 0 (BYPASSCAL) #0 1 Enabled #1 CALSEL Select Calibration Functional Block 3 1 read-write 0 Load calibration functional block #0 1 Calibration functional block #1 CALSTART Calibration Functional Blcok Start 1 1 read-write 0 Stops calibration functional block #0 1 Starts calibration functional block #1 ADCCALWORD ADCCALWORD A/D calibration load word register 0x6C -1 read-write n 0x0 0x0 CALWORD Calibration Word Register Write to this register with the previous calibration word before load calibration action Read this register after calibration done Note: The calibration block contains two parts CALIBRATION and LOAD CALIBRATION if thecalibration block is config as CALIBRATION then this register represent the result of calibration when calibration is completed if config as LOAD CALIBRATION config this register before loading calibration action, after loading calibration complete, the laoded calibration word will apply to the ADC while in loading calibration function the loaded value will not be equal to the orginal CALWORD until calibration is done. 0 7 read-write ADCCHSAMP0 ADCCHSAMP0 ADC Channel Sampling Time Counter Register Group 0 0x70 -1 read-write n 0x0 0x0 CH0SAMPCNT Channel 0 Sampling Counter 0 4 read-write CH1SAMPCNT Channel 1 Sampling Counter\nThe same as Channel 0 sampling counter table. 4 4 read-write CH2SAMPCNT Channel 2 Sampling Counter\nThe same as Channel 0 sampling counter table. 8 4 read-write CH3SAMPCNT Channel 3 Sampling Counter\nThe same as Channel 0 sampling counter table. 12 4 read-write CH4SAMPCNT Channel 4 Sampling Counter\nThe same as Channel 0 sampling counter table. 16 4 read-write CH5SAMPCNT Channel 5 Sampling Counter\nThe same as Channel 0 sampling counter table. 20 4 read-write CH6SAMPCNT Channel 6 Sampling Counter\nThe same as Channel 0 sampling counter table. 24 4 read-write CH7SAMPCNT Channel 7 Sampling Counter\nThe same as Channel 0 sampling counter table. 28 4 read-write ADCCHSAMP1 ADCCHSAMP1 ADC Channel Sampling Time Counter Register Group 1 0x74 -1 read-write n 0x0 0x0 CH10SAMPCNT Channel 10 Sampling Counter\nThe same as Channel 0 sampling counter table. 8 4 read-write CH11SAMPCNT Channel 11 Sampling Counter\nThe same as Channel 0 sampling counter table. 12 4 read-write CH8SAMPCNT Channel 8 Sampling Counter\nThe same as Channel 0 sampling counter table. 0 4 read-write CH9SAMPCNT Channel 9 Sampling Counter\nThe same as Channel 0 sampling counter table. 4 4 read-write INTCHSAMPCNT Internal Channel (VTEMP, AVDD, AVSS, Int_VREF, DAC0, DAC1) Sampling Counter\nThe same as Channel 0 sampling counter table. 16 4 read-write ADCHER ADCHER A/D Channel Enable Register 0x4C read-write n 0x0 0x0 CHEN0 Analog Input Channel 0 Enable (Convert Input Voltage from PA.0 )\nIf more than one channel in single mode is enabled by software, the least channel is converted and other enabled channels will be ignored. 0 1 read-write 0 Disabled #0 1 Enabled #1 CHEN1 Analog Input Channel 1 Enable(Convert input voltage from PA.1 ) 1 1 read-write 0 Disabled #0 1 Enabled #1 CHEN10 Analog Input Channel 10 Enable (Convert Input Voltage from PD.2 ) 10 1 read-write 0 Disabled #0 1 Enabled #1 CHEN11 Analog Input Channel 11 Enable(Convert input voltage from PD.3 ) 11 1 read-write 0 Disabled #0 1 Enabled #1 CHEN12 Analog Input Channel 12 Enable (Convert DAC0 Output Voltage) 12 1 read-write 0 Disabled #0 1 Enabled #1 CHEN13 Analog Input Channel 13 Enable (Convert DAC1 Output Voltage) 13 1 read-write 0 Disabled #0 1 Enabled #1 CHEN14 Analog Input Channel 14 Enable (Convert VTEMP) 14 1 read-write 0 Disabled #0 1 Enabled #1 CHEN15 Analog Input Channel 15 Enable (Convert Int_VREF) 15 1 read-write 0 Disabled #0 1 Enabled #1 CHEN16 Analog Input Channel 16 Enable (Convert AVDD) 16 1 read-write 0 Disabled #0 1 Enabled #1 CHEN17 Analog Input Channel 17 Enable (Convert AVSS) 17 1 read-write 0 Disabled #0 1 Enabled #1 CHEN2 Analog Input Channel 2 Enable (Convert Input Voltage from PA.2 ) 2 1 read-write 0 Disabled #0 1 Enabled #1 CHEN3 Analog Input Channel 3 Enable(Convert input voltage from PA.3 ) 3 1 read-write 0 Disabled #0 1 Enabled #1 CHEN4 Analog Input Channel 4 Enable (Convert Input Voltage from PA.4 ) 4 1 read-write 0 Disabled #0 1 Enabled #1 CHEN5 Analog Input Channel 5 Enable (Convert Input Voltage from PA.5 ) 5 1 read-write 0 Disabled #0 1 Enabled #1 CHEN6 Anaslog Input Channel 6 Enable (Convert Input Voltage from PA.6 ) 6 1 read-write 0 Disabled #0 1 Enabled #1 CHEN7 Analog Input Channel 7 Enable (Convert Input Voltage from PA.7 ) 7 1 read-write 0 Disabled #0 1 Enabled #1 CHEN8 Analog Input Channel 8 Enable for DAC0 (Convert Input Voltage from PD.0 ) 8 1 read-write 0 Disabled #0 1 Enabled #1 CHEN9 Analog Input Channel 9 Enable for DAC1 (Convert Input Voltage from PD.1 ) 9 1 read-write 0 Disabled #0 1 Enabled #1 ADCMPR0 ADCMPR0 A/D Compare Register 0 0x50 read-write n 0x0 0x0 CMPCH Compare Channel Selection 3 5 read-write CMPCOND Compare Condition\nNote: When the internal counter reaches the value to (CMPMATCNT +1), the CMPF bit will be set. 2 1 read-write 0 Set the compare condition as that when a 12-bit A/D conversion result is less than the 12-bit CMPD (ADCMPRx[27:16]), the internal match counter will increase one #0 1 Set the compare condition as that when a 12-bit A/D conversion result is greater or equal to the 12-bit CMPD (ADCMPRx[27:16]), the internal match counter will increase by one #1 CMPD Comparison Data\nThe 12 bits data is used to compare with conversion result of specified channel. Software can use it to monitor the external analog input pin voltage variation in scan mode without imposing a load on software. 16 12 read-write CMPEN Compare Enable\nSet this bit to 1 to enable compare CMPD[11:0] with specified channel conversion result when converted data is loaded into ADC_RESULTx register.\nWhen this bit is set to 1, and CMPMATCNT is 0, the CMPF will be set once the match is hit 0 1 read-write 0 Compare Disabled #0 1 Compare Enabled #1 CMPIE Compare Interrupt Enable\nIf the compare function is enabled and the compare condition matches the setting of CMPCOND and CMPMATCNT, CMPF bit will be asserted, in the meanwhile, if CMPIE is set to 1, a compare interrupt request is generated. 1 1 read-write 0 Compare function interrupt Disabled #0 1 Compare function interrupt Enabled #1 CMPMATCNT Compare Match Count\nWhen the specified A/D channel analog conversion result matches the compare condition defined by CMPCOND[2], the internal match counter will increase 1. When the internal counter reaches the value to (CMPMATCNT +1), the CMPF bit will be set. 8 4 read-write ADCMPR1 ADCMPR1 A/D Compare Register 1 0x54 read-write n 0x0 0x0 ADCPWD ADCPWD ADC Power Management Register 0x64 -1 read-write n 0x0 0x0 PWDCALEN Power up Calibration Function Enable 1 1 read-write 0 Power up without calibration #0 1 Power up with calibration #1 PWDMOD Power-down Mode 2 2 read-write PWUPRDY ADC Power-up Sequence Completed and Ready for Conversion 0 1 read-write 0 ADC is not ready for conversion may be in power down state or in the progress of power up #0 1 ADC is ready for conversion #1 ADCR ADCR A/D Control Register 0x48 -1 read-write n 0x0 0x0 ADEN A/D Converter Enable\nBefore starting A/D conversion, this bit should be set to 1. Clear it to 0 to disable A/D converter analog circuit power consumption. 0 1 read-write 0 Disabled #0 1 Enabled #1 ADIE A/D Interrupt Enable\nA/D conversion end interrupt request is generated if ADIE bit is set to 1. 1 1 read-write 0 A/D interrupt function Disabled #0 1 A/D interrupt function Enabled #1 ADMD A/D Converter Operation Mode\n 2 2 read-write ADST A/D Conversion Start\nADST bit can be set to 1 from two sources: software write and external pin STADC. ADST is cleared to 0 by hardware automatically at the end of single mode and single-cycle scan mode on specified channels. In continuous scan mode, A/D conversion is continuously performed sequentially unless software writes 0 to this bit or chip reset.\nNote: After ADC conversion done, SW needs to wait at least one ADC clock before to set this bit high again. 11 1 read-write 0 Conversion stopped and A/D converter enter idle state #0 1 Conversion starts #1 DIFF Differential Mode Selection\n1:ADC is operated in differential mode\n0:ADC is operated in single-ended mode\nNote: Calibration should calibrated each time when switching between single-ended and differential mode 10 1 read-write PTEN PDMA Transfer Enable 9 1 read-write 0 PDMA data transfer Disabled #0 1 PDMA data transfer in ADC_RESULT 0~17 Enabled #1 REFSEL Reference Voltage Source Selection 16 2 read-write RESSEL Resolution Selection 18 2 read-write TMPDMACNT PDMA Count\nWhen each timer event occur PDMA will transfer TMPDMACNT +1 ADC result in the amount of this register setting\nNote: The total amount of PDMA transferring data should be set in PDMA byte count register. When PDMA finish is set, ADC will not be enabled and start transfer even though the timer event occurred 24 8 read-write TMSEL Select A/D Enable Time-out Source 12 2 read-write TMTRGMOD Timer Event Trigger ADC Conversion 15 1 read-write 0 This function Disabled #0 1 ADC Enabled by TIMER OUT event #1 TRGCOND External Trigger Condition 6 2 read-write TRGE External Trigger Enable\nEnable or disable triggering of A/D conversion by external STADC pin. 8 1 read-write 0 Disabled, #0 1 Enabled, #1 TRGS Hardware Trigger Source\n 4 2 read-write ADPDMA ADPDMA A/D PDMA current transfer data Register 0x60 read-only n 0x0 0x0 AD_PDMA ADC PDMA Current Transfer Data Register\nWhen PDMA transferring, read this register can monitor current PDMA transfer data.\nThis is a read only register. 0 12 read-only ADSR ADSR A/D Status Register 0x58 read-write n 0x0 0x0 ADF A/D Conversion End Flag\nA status flag that indicates the end of A/D conversion.\nADF is set to 1 at these two conditions:\nWhen A/D conversion ends in single mode\nWhen A/D conversion ends on all specified channels in scan mode.\nThis flag can be cleared by writing 1 to it. 0 1 read-write BUSY BUSY/IDLE\nIt is read only. 3 1 read-write 0 A/D converter is in idle state #0 1 A/D converter is busy at conversion #1 CHANNEL Current Conversion Channel\nIt is read only. 4 5 read-write CMPF0 Compare Flag\nWhen the selected channel A/D conversion result meets setting condition in ADCMPR0 then this bit is set to 1. And it is cleared by writing 1 to self.\nThis flag can be cleared by writing 1 to it.\nNote: When this flag is set, the matching counter will be reset to 0,and continue to count when user write 1 to clear CMPF0 1 1 read-write 0 Conversion result in ADC_RESULTx does not meet ADCMPR0setting #0 1 Conversion result in ADC_RESULTx meets ADCMPR0setting #1 CMPF1 Compare Flag\nWhen the selected channel A/D conversion result meets setting condition in ADCMPR1 then this bit is set to 1. And it is cleared by writing 1 to self.\nThis flag can be cleared by writing 1 to it.\nNote: when this flag is set, the matching counter will be reset to 0,and continue to count when user write 1 to clear CMPF1 2 1 read-write 0 Conversion result in ADC_RESULTx does not meet ADCMPR1 setting #0 1 Conversion result in ADC_RESULTx meets ADCMPR1 setting #1 INITRDY ADC Power-up Sequence Completed\nNote: This bit will be set after system reset occurred and automatically cleared by power-up event. 16 1 read-write 0 ADC has been powered up since he last system reset #0 1 ADC not powered up after system reset #1 RESULT0 ADC_RESULT0 A/D Data Register 0 0x0 read-only n 0x0 0x0 OVERRUN Over Run Flag\nIt is a mirror to OVERRUN bit in ADC_RESULTx 17 1 read-only Reserve Reserved 18 14 read-only RSLT A/D Conversion Result\nThis field contains 12 bits conversion results. 0 12 read-only VALID Data Valid Flag\nIt is a mirror of VALID bit in ADC_RESULTx 16 1 read-only RESULT1 ADC_RESULT1 A/D Data Register 1 0x4 read-write n 0x0 0x0 RESULT10 ADC_RESULT10 A/D Data Register 10 0x28 read-write n 0x0 0x0 RESULT11 ADC_RESULT11 A/D Data Register 11 0x2C read-write n 0x0 0x0 RESULT12 ADC_RESULT12 A/D Data Register 12 0x30 read-write n 0x0 0x0 RESULT13 ADC_RESULT13 A/D Data Register 13 0x34 read-write n 0x0 0x0 RESULT14 ADC_RESULT14 A/D Data Register 14 0x38 read-write n 0x0 0x0 RESULT15 ADC_RESULT15 A/D Data Register 15 0x3C read-write n 0x0 0x0 RESULT16 ADC_RESULT16 A/D Data Register 16 0x40 read-write n 0x0 0x0 RESULT17 ADC_RESULT17 A/D Data Register 17 0x44 read-write n 0x0 0x0 RESULT2 ADC_RESULT2 A/D Data Register 2 0x8 read-write n 0x0 0x0 RESULT3 ADC_RESULT3 A/D Data Register 3 0xC read-write n 0x0 0x0 RESULT4 ADC_RESULT4 A/D Data Register 4 0x10 read-write n 0x0 0x0 RESULT5 ADC_RESULT5 A/D Data Register 5 0x14 read-write n 0x0 0x0 RESULT6 ADC_RESULT6 A/D Data Register 6 0x18 read-write n 0x0 0x0 RESULT7 ADC_RESULT7 A/D Data Register 7 0x1C read-write n 0x0 0x0 RESULT8 ADC_RESULT8 A/D Data Register 8 0x20 read-write n 0x0 0x0 RESULT9 ADC_RESULT9 A/D Data Register 9 0x24 read-write n 0x0 0x0 CLK CLK Register Map CLK 0x0 0x0 0x2C registers n 0x30 0x4 registers n AHBCLK AHBCLK AHB Devices Clock Enable Control Register 0x4 -1 read-write n 0x0 0x0 DMA_EN DMA Controller Clock Enable 1 1 read-write 0 Disabled #0 1 Enabled #1 EBI_EN EBI Controller Clock Enable 3 1 read-write 0 Disabled #0 1 Enabled #1 GPIO_EN GPIO Controller Clock Enable 0 1 read-write 0 Disabled #0 1 Enabled #1 ISP_EN Flash ISP Controller Clock Enable 2 1 read-write 0 Disabled #0 1 Enabled #1 SRAM_EN SRAM Controller Clock Enable 4 1 read-write 0 Disabled #0 1 Enabled #1 TICK_EN System Tick Clock Enable 5 1 read-write 0 Disabled #0 1 Enabled #1 APBCLK APBCLK APB Devices Clock Enable Control Register 0x8 -1 read-write n 0x0 0x0 ADC_EN Analog-Digital-Converter (ADC) Clock Enable Control. 28 1 read-write 0 Disabled #0 1 Enabled #1 DAC_EN 12-bit DAC Clock Enable Control 25 1 read-write 0 Disabled #0 1 Enabled #1 FDIV_EN Frequency Divider Output Clock Enable Control 6 1 read-write 0 Disabled #0 1 Enabled #1 I2C0_EN I2C0 Clock Enable Control. 8 1 read-write 0 Disabled #0 1 Enabled #1 I2C1_EN I2C1 Clock Enable Control. 9 1 read-write 0 Disabled #0 1 Enabled #1 I2S_EN I2S Clock Enable Control. 29 1 read-write 0 Disabled #0 1 Enabled #1 LCD_EN LCD controller Clock Enable Control 26 1 read-write 0 Disabled #0 1 Enabled #1 PWM0_CH01_EN PWM0 Channel 0 and Channel 1Clock Enable Control. 20 1 read-write 0 Disabled #0 1 Enabled #1 PWM0_CH23_EN PWM0 Channel 2 and Channel 3 Clock Enable Control. 21 1 read-write 0 Disabled #0 1 Enabled #1 PWM1_CH01_EN PWM1 Channel 0 and Channel 1 Clock Enable Control. 22 1 read-write 0 Disabled #0 1 Enabled #1 PWM1_CH23_EN PWM1 Channel 2 and Channel 3 Clock Enable Control. 23 1 read-write 0 Disabled #0 1 Enabled #1 RTC_EN Real-Time-Clock Clock Enable Control. \nThis bit is used to control the RTC APB clock only, The RTC engine Clock Source is from LXT. 1 1 read-write 0 Disabled #0 1 Enabled #1 SC0_EN SmartCard 0 Clock Enable Control. 30 1 read-write 0 Disabled #0 1 Enabled #1 SC1_EN SmartCard 1 Clock Enable Control. 31 1 read-write 0 Disabled #0 1 Enabled #1 SC2_EN SmartCard 2 Clock Enable Control. 7 1 read-write 0 Disabled #0 1 Enabled #1 SPI0_EN SPI0 Clock Enable Control. 12 1 read-write 0 Disabled #0 1 Enabled #1 SPI1_EN SPI1 Clock Enable Control. 13 1 read-write 0 Disabled #0 1 Enabled #1 SPI2_EN SPI2 Clock Enable Control. 14 1 read-write 0 Disabled #0 1 Enabled #1 TMR0_EN Timer0 Clock Enable Control 2 1 read-write 0 Disabled #0 1 Enabled #1 TMR1_EN Timer1 Clock Enable Control 3 1 read-write 0 Disabled #0 1 Enabled #1 TMR2_EN Timer2 Clock Enable Control 4 1 read-write 0 Disabled #0 1 Enabled #1 TMR3_EN Timer3 Clock Enable Control 5 1 read-write 0 Disabled #0 1 Enabled #1 UART0_EN UART0 Clock Enable Control. 16 1 read-write 0 Disabled #0 1 Enabled #1 UART1_EN UART1 Clock Enable Control. 17 1 read-write 0 Disabled #0 1 Enabled #1 USBD_EN USB FS Device Controller Clock Enable Control 27 1 read-write 0 Disabled #0 1 Enabled #1 WDT_EN Watchdog Timer Clock Enable Control. \nThis is a protected register. Please refer to open lock sequence to program it.\nThis bit is used to control the WDT APB clock only, The WDT engine Clock Source is from LIRC. 0 1 read-write 0 Disabled #0 1 Enabled #1 CLKDIV0 CLKDIV0 Clock Divider Number Register 0 0x1C read-write n 0x0 0x0 ADC_N ADC clock divide number from ADC clock source 16 8 read-write HCLK_N HCLK clock divide number from HCLK clock source 0 4 read-write I2S_N I2S clock divide number from I2S clock source 12 4 read-write SC0_N SC 0 clock divide number from SC 0 clock source 28 4 read-write UART_N UART clock divide number from UART clock source 8 4 read-write USB_N USB clock divide number from PLL clock 4 4 read-write CLKDIV1 CLKDIV1 Clock Divider Number Register 1 0x20 read-write n 0x0 0x0 SC1_N SC 1 clock divide number from SC 1 clock source 0 4 read-write SC2_N SC 2 clock divide number from SC2 clock source 4 4 read-write CLKSEL0 CLKSEL0 Clock Source Select Control Register 0 0x10 read-write n 0x0 0x0 HCLK_S HCLK Clock Source Selection. 0 3 read-write CLKSEL1 CLKSEL1 Clock Source Select Control Register 1 0x14 -1 read-write n 0x0 0x0 ADC_S ADC Clock Source Selection 2 2 read-write LCD_S LCD Clock Source Selection 18 1 read-write 0 Clock Source from LXT #0 1 Reserved #1 PWM0_CH01_S PWM0 channel 0 and channel 1 Clock Source Selection 4 2 read-write PWM0_CH23_S PWM0 channel 2 and channel 3 Clock Source Selection 6 2 read-write TMR0_S Timer0 Clock Source Selection\n 8 3 read-write TMR1_S Timer1 Clock Source Selection 12 3 read-write UART_S UART 0/1 Clock Source Selection (UART0 and UART1 Use the Same Clock Source Selection) 0 2 read-write CLKSEL2 CLKSEL2 Clock Source Select Control Register 2 0x18 -1 read-write n 0x0 0x0 FRQDIV_S Clock Divider Clock Source Selection 2 2 read-write I2S_S I2S Clock Source Selection 16 2 read-write PWM1_CH01_S PWM1 channel 0 and channel 1 Clock Source Selection 4 2 read-write PWM1_CH23_S PWM1 channel 2 and channel 2 Clock Source Selection 6 2 read-write SC_S SC Clock Source Selection 18 2 read-write SPI0_S SPI0 Clock Source Selection 20 1 read-write 0 PLL #0 1 HCLK #1 SPI1_S SPI1 Clock Source Selection 21 1 read-write 0 PLL #0 1 HCLK #1 SPI2_S SPI2 Clock Source Selection 22 1 read-write 0 PLL #0 1 HCLK #1 TMR2_S Timer2 Clock Source Selection 8 3 read-write TMR3_S Timer3 Clock Source Selection 12 3 read-write CLKSTATUS CLKSTATUS Clock status monitor Register 0xC -1 read-only n 0x0 0x0 CLK_SW_FAIL Clock Switch Fail Flag\nThis bit will be set when target switch Clock Source is not stable. This bit is write 1 clear 7 1 read-only 0 Clock switch success #0 1 Clock switch fail #1 HIRC_STB HIRC Clock Source Stable Flag 4 1 read-only 0 HIRC clock is not stable or not enable #0 1 HIRC clock is stable #1 HXT_STB HXT Clock Source Stable Flag 0 1 read-only 0 HXT clock is not stable or not enable #0 1 HXT clock is stable #1 LIRC_STB LIRC Clock Source Stable Flag 3 1 read-only 0 LIRC clock is not stable or not enable #0 1 LIRC clock is stable #1 LXT_STB LXT Clock Source Stable Flag 1 1 read-only 0 LXT clock is not stable or not enable #0 1 LXT clock is stable #1 PLL_STB PLL Clock Source Stable Flag 2 1 read-only 0 PLL clock is not stable or not enable #0 1 PLL clock is stable #1 FRQDIV FRQDIV Frequency Divider Control Register 0x28 read-write n 0x0 0x0 FDIV_EN Frequency Divider Enable Bit 4 1 read-write 0 Frequency Divider Disabled #0 1 Frequency Divider Enabled #1 FSEL Divider Output Frequency Selection Bits\nThe formula of output frequency is\nWhere Fin is the input clock frequency, Fout is the frequency of divider output clock and N is the 4-bit value of FSEL[3:0]. 0 4 read-write PLLCTL PLLCTL PLL Control Register 0x24 -1 read-write n 0x0 0x0 FB_DV PLL Feedback Divider Control Pins \nRefer to the formulas below the table.\nThe range of FB_DV is from 0 to 63. 0 5 read-write IN_DV PLL Input Divider Control Pins\nRefer to the formulas below the table. 8 2 read-write OUT_DV PLL Output Divider Control Pins \nRefer to the formulas below the table. This bit MUST be 0 for PLL output low deviation. 12 1 read-write PD Power-down mode. If set the PD_EN bit 1 in PWR_CTL register, the PLL will enter Power-down mode too 16 1 read-write 0 PLL is in normal mode #0 1 PLL is in power-down mode (default) #1 PLL_SRC PLL Source Clock Select 17 1 read-write 0 PLL source clock from HXT #0 1 PLL source clock from HIRC #1 PWRCTL PWRCTL System Power Down Control Register 0x0 -1 read-write n 0x0 0x0 HIRC_EN HIRC Control\nThis is a protected register. Please refer to open lock sequence to program it.\nHIRC is enabled by default. 2 1 read-write 0 Disabled #0 1 Enabled #1 HXT_EN HXT Control\nThis is a protected register. Please refer to open lock sequence to program it.\nThe bit default value is set by flash controller user configuration register config0 [26]. \nHXT is disabled by default. 0 1 read-write 0 Disabled #0 1 Enabled #1 HXT_GAIN HXT Gain Control Bit\nThis is a protected register. Please refer to open lock sequence to program it.\nGain control is used to enlarge the gain of crystal to make sure crystal wok normally. If gain control is enabled, crystal will consume more power than gain control off. \nFor 4MHz to 16MHz crystal. 9 1 read-write 0 Gain control Disabled. It means HXT gain is always high #0 1 Gain control Enabled. HXT gain will be high lasting 2ms then low. This is for power saving #1 HXT_HF_ST HXT_HF_ST 11 2 read-write HXT_SELXT HXT SELXT\nThis is a protected register. Please refer to open lock sequence to program it. 8 1 read-write 0 High frequency crystal loop back path Disabled. It is used for external oscillator #0 1 High frequency crystal loop back path Enabled. It is used for external crystal #1 LIRC_EN LIRC Control\nThis is a protected register. Please refer to open lock sequence to program it.\nLIRC is enabled by default. 3 1 read-write 0 Disabled #0 1 Enabled #1 LXT_EN LXT Control\nThis is a protected register. Please refer to open lock sequence to program it.\nLXT is disabled by default. 1 1 read-write 0 Disabled #0 1 Enabled #1 LXT_SCNT LXT Stable Time Control\nThis is a protected register. Please refer to open lock sequence to program it. 10 1 read-write 0 Delay 4096 LXT before LXT output #0 1 Delay 8192 LXT before LXT output #1 PD_EN Chip Power-down mode Enable Bit This is a protected register. Please refer to open lock sequence to program it. When CPU sets this bit, the chip power down is enabled and chip will not enter Power-down mode until CPU sleep mode is also active. When chip wakes up from Power-down mode, this bit will be auto cleared. When chip is in Power-down mode, the LDO, HXT and HIRC will be disabled, but LXT and LIRC are not controlled by Power-down mode. When power down, the PLL and system clock (CPU, HCLKx and PCLKx) are also disabled no matter the Clock Source selection. Peripheral clocks are not controlled by this bit, if peripheral Clock Source is from LXT or LIRC. In Power-down mode, flash macro power is ON. 6 1 read-write 0 Chip operated in Normal mode #0 1 Chip power down Enabled #1 PD_WK_IE Power-down Mode Wake-up Interrupt Enable \nThis is a protected register. Please refer to open lock sequence to program it.\nPD_WK_INT will be set if both PD_WK_IS and PD_WK_IE are high. 5 1 read-write 0 Disabled #0 1 Enabled #1 WK_DLY Wake-up Delay Counter Enable\nThis is a protected register. Please refer to open lock sequence to program it.\nWhen chip wakes up from Power-down mode, the clock control will delay 4096 clock cycles to wait HXT stable or 16 clock cycles to wait HIRC stable. 4 1 read-write 0 Delay clock cycle delay Disabled #0 1 Delay clock cycle delay Enabled #1 WK_INTSTS WK_INTSTS Wake-up interrupt status 0x30 read-only n 0x0 0x0 PD_WK_IS Wake-up Interrupt Sstatus in chip Power-down Mode\nThis bit indicates that some event resumes chip from Power-down mode \nThe status is set if external interrupts, UART, GPIO, RTC, USB, SPI, Timer, WDT, and BOD wake-up occurred.\nWrite 1 to clear this bit. 0 1 read-only CRC CRC Register Map CRC 0x0 0x0 0x8 registers n 0x14 0x4 registers n 0x1C 0xC registers n 0x80 0xC registers n 0xC 0x4 registers n CHECKSUM CRC_CHECKSUM CRC Check Sum Register 0x88 read-only n 0x0 0x0 CRC_CHECKSUM CRC Checksum Register\nThis field indicates the CRC checksum 0 32 read-only CTL CRC_CTL CRC Control Register 0x0 -1 read-write n 0x0 0x0 CHECKSUM_COM Checksum Complement 27 1 read-write 0 No bit order reverse for CRC checksum #0 1 1's complement for CRC checksum #1 CHECKSUM_RVS Checksum Reverse\nNote: If the checksum data is 0XDD7B0F2E, the bit order reverse for CRC checksum is 0x74F0DEBB 25 1 read-write 0 No bit order reverse for CRC checksum #0 1 Bit order reverse for CRC checksum #1 CPU_WDLEN CPU Write Data Length 28 2 read-write CRCCEN CRC Channel Enable\nSetting this bit to 1 enables CRC's operation. 0 1 read-write CRC_MODE CRC Polynomial Mode\n 30 2 read-write CRC_RST CRC Engine Reset\nNote: When operating in CPU PIO mode, setting this bit will reload the initial seed value 1 1 read-write 0 Writing 0 to this bit has no effect #0 1 Writing 1 to this bit will reset the internal CRC state machine and internal buffer. The contents of control register will not be cleared. This bit will be auto cleared after few clock cycles #1 TRIG_EN Trigger Enable\nNote1: If this bit assert that indicates the CRC engine operation in CRC DMA mode, so don't filled any data in CRC_WDATA register.\nNote2: When CRC DMA transfer completed, this bit will be cleared automatically.\nNote3: If the bus error occurs, all CRC DMA transfer will be stopped. Software must reset all DMA channel, and then trigger again. 23 1 read-write 0 No effect #0 1 CRC DMA data read or write transfer Enabled #1 WDATA_COM Write Data Complement 26 1 read-write 0 No bit order reverse for CRC write data in #0 1 1's complement for CRC write data in #1 WDATA_RVS Write Data Order Reverse\nNote: If the write data is 0xAABBCCDD, the bit order reverse for CRC write data in is 0x55DD33BB 24 1 read-write 0 No bit order reverse for CRC write data in #0 1 Bit order reverse for CRC write data in (per byre) #1 DMABCR CRC_DMABCR CRC Transfer Byte Count Register 0xC read-write n 0x0 0x0 CRC_DMABCR CRC DMA Transfer Byte Count Register\nThis field indicates a 16-bit transfer byte count number of CRC DMA 0 16 read-write DMACBCR CRC_DMACBCR CRC Current Transfer Byte Count Register 0x1C read-write n 0x0 0x0 CRC_DMACBCR CRC DMA Current Byte Count Register (Read Only)\nThis field indicates the current remained byte count of CRC_DMA.\nNote: CRC_RST will clear this register value. 0 16 read-only DMACSAR CRC_DMACSAR CRC Current Source Address Register 0x14 read-write n 0x0 0x0 CRC_DMACSAR CRC DMA Current Source Address Register (Read Only)\nThis field indicates the source address where the CRC DMA transfer is just occurring. 0 32 read-only DMAIER CRC_DMAIER CRC Interrupt Enable Register 0x20 -1 read-write n 0x0 0x0 BLKD_IE CRC DMA Transfer Done Interrupt Enable 1 1 read-write 0 Interrupt generator Disabled during CRC DMA transfer done #0 1 Interrupt generator Enabled during CRC DMA transfer done #1 TABORT_IE CRC DMA Read/Write Target Abort Interrupt Enable 0 1 read-write 0 Target abort interrupt generation Disabled during CRC DMA transfer #0 1 Target abort interrupt generation Enabled during CRC DMA transfer #1 DMAISR CRC_DMAISR CRC Interrupt Status Register 0x24 read-write n 0x0 0x0 BLKD_IF Block Transfer Done Interrupt Flag\nThis bit indicates that CRC DMA has finished all transfer.\nSoftware can write 1 to clear this bit to zero 1 1 read-write 0 Not finished yet #0 1 Done #1 TABORT_IF CRC DMA Read/Write Target Abort Interrupt Flag\nSoftware can write 1 to clear this bit to zero\nNote: The CRC_DMAISR [TABORT_IF] indicate bus master received ERROR response or not. If bus master received ERROR response, it means that target abort is happened. DMA will stop transfer and respond this event to software then go to IDLE state. When target abort occurred, software must reset DMA, and then transfer those data again 0 1 read-write 0 No bus ERROR response received #0 1 Bus ERROR response received #1 DMASAR CRC_DMASAR CRC DMA Source Address Register 0x4 read-write n 0x0 0x0 CRC_DMASAR CRC DMA Transfer Source Address Register\nThis field indicates a 32-bit source address of CRC DMA.\nNote : The source address must be word alignment 0 32 read-write SEED CRC_SEED CRC Seed Register 0x84 -1 read-write n 0x0 0x0 CRC_SEED CRC Seed Register\nThis field indicates the CRC seed value. 0 32 read-write WDATA CRC_WDATA CRC Write Data Register 0x80 read-write n 0x0 0x0 CRC_WDATA CRC Write Data Register 0 32 read-write DAC DAC Register Map DAC 0x0 0x0 0xC registers n 0x10 0xC registers n 0x20 0x4 registers n DAC01_COMCTL DAC01_COMCTL DAC01 Common Control Register 0x20 read-write n 0x0 0x0 DAC01GRP Group DAC0 and DAC1. 8 1 read-write 0 Not grouped #0 1 Grouped #1 REFSEL Reference Voltage Selection 9 2 read-write WAITDACCONV Wait DAC Conversion Complete The DAC needs at least 2 us to settle down every time when each data deliver to DAC, which means user cannot update each DACx_data register faster than 2 us otherwise data will lost. Setting this register can adjust the time interval in PCLK unit between each DACx_data into DAC in order to meet the 2 us requirement. 0 8 read-write DAC0_CTL DAC0_CTL DAC0 Control Register 0x0 -1 read-write n 0x0 0x0 DACEN DAC Enable\nNote: When DAC is powered on, DAC will automatically start conversion after waiting for DACPWONSTBCNT+1 PCLK cycle. 0 1 read-write 0 Power down DAC #0 1 Power on DAC #1 DACIE DAC Interrupt Enable 1 1 read-write 0 Disabled #0 1 Enabled #1 DACLSEL DAC Load Selection 4 3 read-write DACPWONSTBCNT DACPWONSTBCNT\nDAC need 6 us to be stable after DAC is power on from power down state.\nThis fied controls a internal counter (in PCLK unit) to guarantee DAC stable time requirement. 8 14 read-write DAC0_DATA DAC0_DATA DAC0 Data Register 0x4 read-write n 0x0 0x0 DACData DAC data 0 12 read-write DAC0_STS DAC0_STS DAC0 Status Register 0x8 read-write n 0x0 0x0 BUSY BUSY bit 2 1 read-write 0 DAC is not busy #0 1 DAC is busy #1 DACIFG DAC Interrupt flag\nNote: This bit is read only. 0 1 read-write 0 No interrupt pending #0 1 Interrupt pending #1 DACSTFG DAC start flag\nNote: this bit is read only. 1 1 read-write 0 DAC is not start yet #0 1 DAC has been started #1 DAC1_CTL DAC1_CTL DAC1 Control Register 0x10 read-write n 0x0 0x0 DAC1_DATA DAC1_DATA DAC1 Data Register 0x14 read-write n 0x0 0x0 DAC1_STS DAC1_STS DAC1 Status Register 0x18 read-write n 0x0 0x0 DMA_GCR DMA Register Map DMA 0x0 0x0 0x10 registers n DMA_DSSR0 DMA_DSSR0 DMA Service Selection Control Register 0 0x4 -1 read-write n 0x0 0x0 CH1_SEL Channel 1 Selection 8 5 read-write CH2_SEL Channel 2 Selection \nThis filed defines which peripheral is connected to PDMA channel 2. Software can configure the peripheral setting by CH2_SEL. The channel configuration is the same as CH1_SEL field. Please refer to the explanation of CH1_SEL. 16 5 read-write CH3_SEL Channel 3 Selection \nThis filed defines which peripheral is connected to PDMA channel 3. Software can configure the peripheral setting by CH3_SEL. The channel configuration is the same as CH1_SEL field. Please refer to the explanation of CH1_SEL. 24 5 read-write DMA_DSSR1 DMA_DSSR1 DMA Service Selection Control Register 1 0x8 -1 read-write n 0x0 0x0 CH4_SEL Channel 4 Selection 0 5 read-write CH5_SEL Channel 5 Selection \nThis filed defines which peripheral is connected to PDMA channel 5. Software can configure the peripheral setting by CH5_SEL. The channel configuration is the same as CH4_SEL field. Please refer to the explanation of CH4_SEL. 8 5 read-write CH6_SEL Channel 6 Selection \nThis filed defines which peripheral is connected to PDMA channel 6. Software can configure the peripheral setting by CH6_SEL. The channel configuration is the same as CH4_SEL field. Please refer to the explanation of CH4_SEL. 16 5 read-write DMA_GCRCSR DMA_GCRCSR DMA Global Control and Status Register 0x0 read-write n 0x0 0x0 CLK0_EN DMA Controller Channel 0 Clock Enable Control 8 1 read-write 0 Disabled #0 1 Enabled #1 CLK1_EN DMA Controller Channel 1 Clock Enable Control 9 1 read-write 0 Disabled #0 1 Enabled #1 CLK2_EN DMA Controller Channel 2 Clock Enable Control 10 1 read-write 0 Disabled #0 1 Enabled #1 CLK3_EN DMA Controller Channel 3 Clock Enable Control 11 1 read-write 0 Disabled #0 1 Enabled #1 CLK4_EN DMA Controller Channel 4 Clock Enable Control 12 1 read-write 0 Disabled #0 1 Enabled #1 CLK5_EN DMA Controller Channel 5 Clock Enable Control 13 1 read-write 0 Disabled #0 1 Enabled #1 CLK6_EN DMA Controller Channel 6 Clock Enable Control 14 1 read-write 0 Disabled #0 1 Enabled #1 CRC_CLK_EN CRC Controller Clock Enable Control 24 1 read-write 0 Disabled #0 1 Enabled #1 DMA_GCRISR DMA_GCRISR DMA Global Interrupt Status Register 0xC read-only n 0x0 0x0 CRC_INTR Interrupt Pin Status of CRC Controller\nThis bit is the Interrupt status of CRC controller\nNote: This bit is read only 16 1 read-only INTR0 Interrupt Pin Status Of Channel 0 (Read Only)\nThis bit is the Interrupt pin status of DMA channel0.\nNote: This bit is read only 0 1 read-only INTR1 Interrupt Pin Status Of Channel 1 (Read Only)\nThis bit is the Interrupt pin status of DMA channel1.\nNote: This bit is read only 1 1 read-only INTR2 Interrupt Pin Status Of Channel 2 (Read Only)\nThis bit is the Interrupt pin status of DMA channel2.\nNote: This bit is read only 2 1 read-only INTR3 Interrupt Pin Status Of Channel 3 (Read Only)\nThis bit is the Interrupt pin status of DMA channel3.\nNote: This bit is read only 3 1 read-only INTR4 Interrupt Pin Status Of Channel 4 (Read Only)\nThis bit is the Interrupt pin status of DMA channel4.\nNote: This bit is read only 4 1 read-only INTR5 Interrupt Pin Status Of Channel 5 (Read Only)\nThis bit is the Interrupt pin status of DMA channel4.\nNote: This bit is read only 5 1 read-only INTR6 Interrupt Pin Status Of Channel 6 (Read Only)\nThis bit is the Interrupt pin status of DMA channel4.\nNote: This bit is read only 6 1 read-only EBI EBI Register Map EBI 0x0 0x0 0x8 registers n EBICON EBICON External Bus Interface General Control Register 0x0 read-write n 0x0 0x0 ExtBW16 EBI Data Width 16-bit\nThis bit defines if the data bus is 8-bit or 16-bit. 1 1 read-write 0 EBI data width is 8-bit #0 1 EBI data width is 16-bit #1 ExtEN EBI Enable\nThis bit is the functional enable bit for EBI. 0 1 read-write 0 EBI function is disabled #0 1 EBI function is enabled #1 ExttALE Expand Time of ALE\nThe ALE width (tALE) to latch the address can be controlled by ExttALE. 16 3 read-write MCLKDIV External Output Clock Divider 8 3 read-write MCLKEN External Clock Enable\nThis bit control if EBI generates the clock to external device.\nIf external device is a synchronous device, it's necessary to set this bit high to enable EBI generating clock to external device.\nIf the external device is an asynchronous device, keep this bit low is recommended to save power consumption.\n 11 1 read-write 0 EBI Disabled to generate clock to external device #0 1 EBI Enabled to generate clock to external device #1 EXTIME EXTIME External Bus Interface Timing Control Register 0x4 read-write n 0x0 0x0 ExtIR2R Idle State Cycle between Read-Read\nWhen read action is finish and next action is going to read, idle state is inserted and nCS return to high if ExtIR2R is not zero. 24 4 read-write ExtIR2W Idle State Cycle between Read-Write\nWhen read action is finish and next action is going to write, idle state is inserted and nCS return to high if ExtIR2W is not zero. 16 4 read-write ExtIW2X Idle State Cycle after Write\nWhen write action is finish, idle state is inserted and nCS return to high if ExtIW2X is not zero. 12 4 read-write ExttACC EBI Data Access Time\nExttACC define data access time (tACC). 0 5 read-write ExttAHD EBI Data Access Hold Time\nExttAHD define data access hold time (tAHD). 8 3 read-write FMC FMC Register Map FMC 0x0 0x0 0x18 registers n 0x40 0x4 registers n DFBADR DFBADR Data Flash Base Address 0x14 -1 read-only n 0x0 0x0 DFBA Data Flash Base Address\nThis register indicates data flash start address. It is a read only register.\nThe data flash start address is defined by user. Since on chip flash erase unit is 512 bytes, it is mandatory to keep bit 8-0 as 0. 0 32 read-only ISPADR ISPADR ISP Address Register 0x4 read-write n 0x0 0x0 ISPADR ISP Address\nThis chip supports word program only. ISPADR[1:0] must be kept 00b for ISP operation, and ISPADR[8:0] must be kept 0_0000_0000b for Vector Page Re-map Command 0 32 read-write ISPCMD ISPCMD ISP Command Register 0xC read-write n 0x0 0x0 FCEN ISP Command\nThe ISP command table is shown as follows. 4 1 read-write FCTRL ISP Command\nThe ISP command table is shown as follows. 0 4 read-write FOEN ISP Command\nThe ISP command table is shown as follows. 5 1 read-write ISPCON ISPCON ISP Control Register 0x0 read-write n 0x0 0x0 APUEN APROM Update Enable (Write-protection Bit)\nAPROM update enable bit. 3 1 read-write 0 APROM can not be updated #0 1 APROM can be updated when the MCU runs in APROM #1 BS Boot Select (Write-protection Bit) Set/clear this bit to select next booting from LDROM/APROM, respectively. This bit also functions as chip booting status flag, which can be used to check where chip booted from. This bit is initiated with the inversed value of CBS in Config0 after power-on reset It keeps the same value at other reset. 1 1 read-write 0 boot from APROM #0 1 boot from LDROM #1 CFGUEN Enable Config-bits Update by ISP (Write-protection Bit) 4 1 read-write 0 Disabling ISP can update config-bits #0 1 Enabling ISP can update config-bits #1 ET Flash Erase Time (Write-protection Bits) 12 3 read-write ISPEN ISP Enable (Erite-protection Bit)\nISP function enable bit. Set this bit to enable ISP function. 0 1 read-write 0 ISP function Disabled #0 1 ISP function Enabled #1 ISPFF ISP Fail Flag (Write-protection Bit)\nThis bit is set by hardware when a triggered ISP meets any of the following conditions:\n(1) APROM writes to itself\n(2) LDROM writes to itself\n(3) CONFIG is erased/programmed if CFGUEN is set to 0\n(4) Destination address is illegal, such as over an available range\nWrite 1 to clear. 6 1 read-write LDUEN LDROM Update Enable (Write-protection Bit)\nLDROM update enable bit. 5 1 read-write 0 LDROM cannot be updated #0 1 LDROM can be updated when the chip runs in APROM #1 PT Flash Program Time (Write-protection Bits) 8 3 read-write ISPDAT ISPDAT ISP Data Register 0x8 read-write n 0x0 0x0 ISPDAT ISP Data\nWrite data to this register before ISP program operation\nRead data from this register after ISP read operation 0 32 read-write ISPSTA ISPSTA ISP Status Register 0x40 read-write n 0x0 0x0 CBS Config Boot Selection Status 1 2 read-write ISPBUSY ISP BUSY\nRead Only 0 1 read-write 0 ISP operation is finished #0 1 ISP operation is busy #1 ISPFF ISP Fail Flag\nThis bit is set by hardware when a triggered ISP meets any of the following conditions:\n(1) APROM writes to itself.\n(2) LDROM writes to itself. \n(3) CONFIG is erased/programmed when the MCU is running in APROM.\n(4) Destination address is illegal, such as over an available range.\nWrite 1 to clear. 6 1 read-write VECMAP Vector Page Mapping Address The current flash address space 0x0000_0000~0x0000_01FF is mapping to address {VEC}AP[11:0], 000000000b } ~ {VEC}AP[11:0], 111111111b } Read Only 9 12 read-write ISPTRG ISPTRG ISP Trigger Register 0x10 read-write n 0x0 0x0 ISPGO ISP Start Trigger\nWrite 1 to start ISP operation and this bit will be cleared to 0 by hardware automatically when ISP operation is finished. 0 1 read-write 0 ISP operation is finished #0 1 ISP is progressing #1 GCR GCR Register Map GCR 0x0 0x0 0x10 registers n 0x100 0x4 registers n 0x20 0x4 registers n 0x30 0x2C registers n 0x60 0x10 registers n 0x80 0xC registers n BODCTL BODCTL Brown-out Detector Controller Register 0x64 -1 read-write n 0x0 0x0 BOD17_EN Brown-out Detector 1.7V Function Enable 0 1 read-write 0 Brown-out Detector 1.7V function Disabled #0 1 Brown-out Detector 1.7V function Enabled #1 BOD17_INT_EN BOD 1.7 V interrupt Enable\nThis is a protected register. Please refer to open lock sequence to program it. 8 1 read-write 0 Interrupt does not issue when BOD17 occurs #0 1 Interrupt issues when BOD17 occurs #1 BOD17_RST_EN BOD 1.7 V Reset Enable 4 1 read-write 0 Reset does not issue when BOD17 occurs #0 1 Reset issues when BOD17 occurs #1 BOD20_EN Brown-out Detector 2.0 V Function Enable \nThis is a protected register. Please refer to open lock sequence to program it.\nBOD20_EN is default on. If SW disables it, Brown-out Detector 2.0 V function is not disabled until chip enters power-down mode. If system is not in power-down mode, BOD20_EN will be enabled by hardware automatically. 1 1 read-write 0 Brown-out Detector 2.0 V function Disabled #0 1 Brown-out Detector 2.0 V function Enabled #1 BOD20_INT_EN BOD 2.0 V interrupt Enable\nThis is a protected register. Please refer to open lock sequence to program it. 9 1 read-write 0 Interrupt does not issue when BOD20 occurs #0 1 Interrupt issues when BOD20 occurs #1 BOD20_RST_EN BOD 2.0 V Reset Enable\nThis is a protected register. Please refer to open lock sequence to program it.\nThe default value is set by flash controller user configuration register config0 bit[20:19] 5 1 read-write 0 Reset does not issue when BOD20 occurs #0 1 Reset issues when BOD20 occurs #1 BOD25_EN Brown-out Detector 2.5 V Function Enable \nThis is a protected register. Please refer to open lock sequence to program it. 2 1 read-write 0 Brown-out Detector 2.5 V function Disabled #0 1 Brown-out Detector 2.5 V function Enabled #1 BOD25_INT_EN BOD 2.5 V interrupt Enable\nThis is a protected register. Please refer to open lock sequence to program it. 10 1 read-write 0 Interrupt does not issue when BOD25 occurs #0 1 Interrupt issues when BOD25 occurs #1 BOD25_RST_EN BOD 2.5 V Reset Enable\nThis is a protected register. Please refer to open lock sequence to program it.\nThe default value is set by flash controller user configuration register config0 bit[20:19] 6 1 read-write 0 Reset does not issue when BOD25 occurs #0 1 Reset issues when BOD25 occurs #1 BODSTS BODSTS Brown-out Detector Status Register 0x68 read-only n 0x0 0x0 BOD17_drop Brown-out Detector lower than 1.7V Status\nSetting BOD17_drop high means once the detected voltage is lower than target detected voltage setting (1.7V). Software can write 1 to clear BOD17_drop 1 1 read-only BOD17_rise Brown-out Detector higher than 1.7V Status\nSetting BOD17_rise high means once the detected voltage is higher than target detected voltage setting (1.7V). Software can write 1 to clear BOD17_rise 4 1 read-only BOD20_drop Brown-out Detector lower than 2.0V Status\nSetting BOD20_drop high means once the detected voltage is lower than target detected voltage setting (2.0V). Software can write 1 to clear BOD20_drop 2 1 read-only BOD20_rise Brown-out Detector higher than 2.0V Status\nSetting BOD20_rise high means once the detected voltage is higher than target detected voltage setting (2.0V). Software can write 1 to clear BOD20_rise 5 1 read-only BOD25_drop Brown-out Detector lower than 2.5V Status\nSetting BOD25_drop high means once the detected voltage is lower than target detected voltage setting (2.5V). Software can write 1 to clear BOD25_drop 3 1 read-only BOD25_rise Brown-out Detector higher than 2.5V Status\nSetting BOD25_rise high means once the detected voltage is higher than target detected voltage setting (2.5V). Software can write 1 to clear BOD25_rise. 6 1 read-only BOD_INT Brown-out Detector interrupt status\nThis bit is cleared by writing 1 to itself. 0 1 read-only 0 Brown-out Detector does not detect any voltage drift at VDD down through or up through the target detected voltage after interrupt is enabled #0 1 When Brown-out Detector detects the VDD is dropped down through the target detected voltage or the VDD is raised up through the target detected voltage and Brown-out interrupt is enabled, this bit will be set to 1 #1 Int_VREFCTL Int_VREFCTL Voltage reference Control register 0x6C -1 read-write n 0x0 0x0 BGP_EN Band-gap Enable\nThis is a protected register. Please refer to open lock sequence to program it.\nBand-gap is the reference voltage of internal reference voltage. User must enable band-gap if want to enable internal 1.8V or 2.5V reference voltage. 0 1 read-write 0 Disabled #0 1 Enabled #1 EXT_MODE Regulator External Mode\nThis is a protected register. Please refer to open lock sequence to program it.\nUsers can output regulator output voltage in VREF pin if EXT_MODE is high. 3 1 read-write 0 No connection with external VREF pin #0 1 Connet to external VREF pin. Connect a 1uF to 10uF capacitor to AVSS will let internal voltage reference be more stable #1 REG_EN Regulator Enable\nEnable internal 1.8V or 2.5V reference voltage.\nThis is a protected register. Please refer to open lock sequence to program it. 1 1 read-write 0 Disabled #0 1 Enabled #1 SEL25 Regulator Output Voltage Selection\nSelect internal reference voltage level.\nThis is a protected register. Please refer to open lock sequence to program it. 2 1 read-write 0 1.8V #0 1 2.5V #1 IPRST_CTL1 IPRST_CTL1 IP Reset Control Resister1 0x8 read-write n 0x0 0x0 CHIP_RST CHIP one shot reset. This is a protected register. Please refer to open lock sequence to program it. Setting this bit will reset the whole chip, including CPU kernel and all peripherals like power-on reset and this bit will automatically return to 0 after the 2 clock cycles. The chip setting from flash will be also reloaded when chip one shot reset. Note: In the following conditions, chip setting from flash will be reloaded. Power-on Reset Brown-out-Detected Reset Low level on the /RESET pin Set IPRST_CTL1[CHIP_RST] 0 1 read-write 0 Normal #0 1 Reset CHIP #1 CPU_RST CPU kernel one shot reset. This is a protected register. Please refer to open lock sequence to program it. Setting this bit will only reset the CPU kernel and Flash Memory Controller(FMC), and this bit will automatically return to 0 after the 2 clock cycles 1 1 read-write 0 Normal #0 1 Reset CPU #1 DMA_RST DMA Controller Reset This is a protected register. Please refer to open lock sequence to program it. Set this bit 1 will generate a reset signal to the DMA. SW needs to set this bit to low to release reset signal. 2 1 read-write 0 Normal operation #0 1 DMA IP reset #1 EBI_RST EBI Controller Reset This is a protected register. Please refer to open lock sequence to program it. Set this bit 1 will generate a reset signal to the EBI. SW needs to set this bit to low to release reset signal. 3 1 read-write 0 Normal operation #0 1 EBI IP reset #1 IPRST_CTL2 IPRST_CTL2 IP Reset Control Resister2 0xC read-write n 0x0 0x0 ADC_RST ADC Controller Reset 28 1 read-write 0 ADC block normal operation #0 1 ADC block reset #1 DAC_RST DAC Controller Reset 25 1 read-write 0 DAC block normal operation #0 1 DAC block reset #1 GPIO_RST GPIO controller Reset 1 1 read-write 0 GPIO normal operation #0 1 GPIO reset #1 I2C0_RST I2C0 controller Reset 8 1 read-write 0 I2C0 normal operation #0 1 I2C0 block reset #1 I2C1_RST I2C1 controller Reset 9 1 read-write 0 I2C1 block normal operation #0 1 I2C1 block reset #1 I2S_RST I2S Controller Reset 29 1 read-write 0 I2S block normal operation #0 1 I2S block reset #1 LCD_RST LCD Controller Reset 26 1 read-write 0 LCD block normal operation #0 1 LCD block reset #1 PWM0_RST PWM0 controller Reset 20 1 read-write 0 PWM0 block normal operation #0 1 PWM0 block reset #1 PWM1_RST PWM1 controller Reset 21 1 read-write 0 PWM1 block normal operation #0 1 PWM1 block reset #1 SC0_RST SmartCard 0 Controller Reset 30 1 read-write 0 SmartCard block normal operation #0 1 SmartCard block reset #1 SC1_RST SmartCard1 Controller Reset 31 1 read-write 0 SmartCard block normal operation #0 1 SmartCard block reset #1 SC2_RST SmartCard 2 Controller Reset 7 1 read-write 0 SmartCard 2 block normal operation #0 1 SmartCard 2 block reset #1 SPI0_RST SPI0 controller Reset 12 1 read-write 0 SPI0 block normal operation #0 1 SPI0 block reset #1 SPI1_RST SPI1 controller Reset 13 1 read-write 0 SPI1 normal operation #0 1 SPI1 block reset #1 SPI2_RST SPI2 controller Reset 14 1 read-write 0 SPI2 normal operation #0 1 SPI2 block reset #1 TMR0_RST Timer0 controller Reset 2 1 read-write 0 Timer0 normal operation #0 1 Timer0 reset #1 TMR1_RST Timer1 controller Reset 3 1 read-write 0 Timer1 normal operation #0 1 Timer1 block reset #1 TMR2_RST Timer2 controller Reset 4 1 read-write 0 Timer2 normal operation #0 1 Timer2 block reset #1 TMR3_RST Timer3 controller Reset 5 1 read-write 0 Timer3 normal operation #0 1 Timer3 block reset #1 UART0_RST UART0 controller Reset 16 1 read-write 0 UART0 normal operation #0 1 UART0 block reset #1 UART1_RST UART1 controller Reset 17 1 read-write 0 UART1 normal operation #0 1 UART1 block reset #1 USBD_RST USB Device Controller Reset 27 1 read-write 0 USB block normal operation #0 1 USB block reset #1 IRCTRIMCTL IRCTRIMCTL HIRC Trim Control Register 0x80 read-write n 0x0 0x0 ERR_STOP Trim Stop When 32.768 kHz Error Detected\nThis bit is used to control if stop the HIRC trim operation when 32.768 kHz clock error is detected.\nIf set this bit high and 32.768 kHz clock error detected, the status 32K_ERR_INT would be set high and HIRC trim operation was stopped. If this bit is low and 32.768 kHz clock error detected, the status 23K_ERR_INT would be set high and HIRC trim operation is continuously. 8 1 read-write 0 Continue the HIRC trim operation even if 32.768 kHz clock error detected #0 1 Stop the HIRC trim operation if 32.768 kHz clock error detected #1 TRIM_LOOP Trim Calculation Loop 4 2 read-write TRIM_RETRY_CNT Trim Value Update Limitation Count 6 2 read-write TRIM_SEL Trim Frequency Selection 0 2 read-write IRCTRIMIEN IRCTRIMIEN HIRC Trim Interrupt Enable Register 0x84 read-write n 0x0 0x0 TRIM_FAIL_IEN Trim Failure Interrupt Enable\nThis bit controls if an interrupt will be triggered while HIRC trim value update limitation count reached and HIRC frequency still not locked on target frequency set by TRIM_SEL.\nIf this bit is high and TRIM_FAIL_INT is set during auto trim operation, an interrupt will be triggered to notify that HIRC trim value update limitation count was reached. 1 1 read-write 0 TRIM_FAIL_INT status Disabled to trigger an interrupt to CPU #0 1 TRIM_FAIL_INT status Enabled to trigger an interrupt to CPU #1 _32K_ERR_IEN 32.768 kHz Clock Error Interrupt Enable\nThis bit controls if CPU would get an interrupt while 32.768 kHz clock is inaccuracy during auto trim operation.\nIf this bit is high, and 32K_ERR_INT is set during auto trim operation, an interrupt will be triggered to notify the 32.768 kHz clock frequency is inaccuracy. 2 1 read-write 0 32K_ERR_INT status Disabled to trigger an interrupt to CPU #0 1 32K_ERR_INT status Enabled to trigger an interrupt to CPU #1 IRCTRIMINT IRCTRIMINT HIRC Trim Interrupt Status Register 0x88 read-write n 0x0 0x0 FREQ_LOCK HIRC Frequency Lock Status\nThis bit indicates the HIRC frequency lock.\nThis is a status bit and doesn't trigger any interrupt. 0 1 read-write TRIM_FAIL_INT Trim Failure Interrupt Status\nThis bit indicates that HIRC trim value update limitation count reached and HIRC clock frequency still doesn't lock. Once this bit is set, the auto trim operation stopped and TRIM_SEL will be cleared to 00 by hardware automatically.\nIf this bit is set and TRIM_FAIL_IEN is high, an interrupt will be triggered to notify that HIRC trim value update limitation count was reached. Write 1 to clear this to zero. 1 1 read-write 0 Trim value update limitation count doesn't reach #0 1 Trim value update limitation count reached and HIRC frequency still doesn't lock #1 _32K_ERR_INT 32.768 kHz Clock Error Interrupt Status\nThis bit indicates that 32.768 kHz clock frequency is inaccuracy. Once this bit is set, the auto trim operation stopped and TRIM_SEL will be cleared to 00 by hardware automatically.\nIf this bit is set and 32K_ERR_IEN is high, an interrupt will be triggered to notify the 32.768 kHz clock frequency is inaccuracy. Write 1 to clear this to zero. 2 1 read-write 0 32.768 kHz clock frequency is accuracy #0 1 32.768 kHz clock frequency is inaccuracy #1 PA_H_MFP PA_H_MFP Port A high byte multiple function control register 0x34 -1 read-write n 0x0 0x0 PA10_MFP PA.10 Pin Function Selection 8 3 read-write PA11_MFP PA.11 Pin Function Selection 12 3 read-write PA12_MFP PA.12 Pin Function Selection 16 3 read-write PA13_MFP PA.13 Pin Function Selection 20 3 read-write PA14_MFP PA.14 Pin Function Selection 24 3 read-write PA15_MFP PA.15 Pin Function Selection 28 3 read-write PA8_MFP PA.8 Pin Function Selection 0 3 read-write PA9_MFP PA.9 Pin Function Selection 4 3 read-write PA_L_MFP PA_L_MFP Port A low byte multiple function control register 0x30 read-write n 0x0 0x0 PA0_MFP PA.0 Pin Function Selection 0 3 read-write PA1_MFP PA.1 Pin Function Selection 4 3 read-write PA2_MFP PA.2 Pin Function Selection 8 3 read-write PA3_MFP PA.3 Pin Function Selection 12 3 read-write PA4_MFP PA.4 Pin Function Selection 16 3 read-write PA5_MFP PA.5 Pin Function Selection 20 3 read-write PA6_MFP PA.6 Pin Function Selection 24 3 read-write PA7_MFP PA.7 Pin Function Selection 28 3 read-write PB_H_MFP PB_H_MFP Port B high byte multiple function control register 0x3C read-write n 0x0 0x0 PB10_MFP PB.10 Pin Function Selection 8 3 read-write PB11_MFP PB.11 Pin Function Selection 12 3 read-write PB12_MFP PB.12 Pin Function Selection 16 3 read-write PB13_MFP PB.13 Pin Function Selection 20 3 read-write PB14_MFP PB.14 Pin Function Selection 24 3 read-write PB15_MFP PB.15 Pin Function Selection 28 3 read-write PB8_MFP PB.8 Pin Function Selection 0 3 read-write PB9_MFP PB.9 Pin Function Selection 4 3 read-write PB_L_MFP PB_L_MFP Port B low byte multiple function control register 0x38 read-write n 0x0 0x0 PB0_MFP PB.0 Pin Function Selection 0 3 read-write PB1_MFP PB.1 Pin Function Selection 4 3 read-write PB2_MFP PB.2 Pin Function Selection 8 3 read-write PB3_MFP PB.3 Pin Function Selection 12 3 read-write PB4_MFP PB.4 Pin Function Selection 16 3 read-write PB5_MFP PB.5 Pin Function Selection 20 3 read-write PB6_MFP PB.6 Pin Function Selection 24 3 read-write PB7_MFP PB.7 Pin Function Selection 28 3 read-write PC_H_MFP PC_H_MFP Port C high byte multiple function control register 0x44 read-write n 0x0 0x0 PC10_MFP PC.10 Pin Function Selection 8 3 read-write PC11_MFP PC.11 Pin Function Selection 12 3 read-write PC12_MFP PC.12 Pin Function Selection 16 3 read-write PC13_MFP PC.13 Pin Function Selection 20 3 read-write PC14_MFP PC.14 Pin Function Selection 24 3 read-write PC15_MFP PC.15 Pin Function Selection 28 3 read-write PC8_MFP PC.8 Pin Function Selection 0 3 read-write PC9_MFP PC.9 Pin Function Selection 4 3 read-write PC_L_MFP PC_L_MFP Port C low byte multiple function control register 0x40 read-write n 0x0 0x0 PC0_MFP PC.0 Pin Function Selection 0 3 read-write PC1_MFP PC.1 Pin Function Selection 4 3 read-write PC2_MFP PC.2 Pin Function Selection 8 3 read-write PC3_MFP PC.3 Pin Function Selection 12 3 read-write PC4_MFP PC.4 Pin Function Selection 16 3 read-write PC5_MFP PC.5 Pin Function Selection 20 3 read-write PC6_MFP PC.6 Pin Function Selection 24 3 read-write PC7_MFP PC.7 Pin Function Selection 28 3 read-write PDID PDID Part Device Identification number Register 0x0 -1 read-only n 0x0 0x0 PDID Part Device ID \nThis register reflects device part number code. Software can read this register to identify which device is used. 0 32 read-only PD_H_MFP PD_H_MFP Port D high byte multiple function control register 0x4C read-write n 0x0 0x0 PD10_MFP PD.10 Pin Function Selection 8 3 read-write PD11_MFP PD.11 Pin Function Selection 12 3 read-write PD12_MFP PD.12 Pin Function Selection 16 3 read-write PD13_MFP PD.13 Pin Function Selection 20 3 read-write PD14_MFP PD.14 Pin Function Selection 24 3 read-write PD15_MFP PD.15 Pin Function Selection 28 3 read-write PD8_MFP PD.8 Pin Function Selection 0 3 read-write PD9_MFP PD.9 Pin Function Selection 4 3 read-write PD_L_MFP PD_L_MFP Port D low byte multiple function control register 0x48 read-write n 0x0 0x0 PD0_MFP PD.0 Pin Function Selection 0 3 read-write PD1_MFP PD.1 Pin Function Selection 4 3 read-write PD2_MFP PD.2 Pin Function Selection 8 3 read-write PD3_MFP PD.3 Pin Function Selection 12 3 read-write PD4_MFP PD.4 Pin Function Selection 16 3 read-write PD5_MFP PD.5 Pin Function Selection 20 3 read-write PD6_MFP PD.6 Pin Function Selection 24 3 read-write PD7_MFP PD.7 Pin Function Selection 28 3 read-write PE_H_MFP PE_H_MFP Port E high byte multiple function control register 0x54 read-write n 0x0 0x0 PE10_MFP PE.10 Pin Function Selection 8 3 read-write PE11_MFP PE.11 Pin Function Selection 12 3 read-write PE12_MFP PE.12 Pin Function Selection 16 3 read-write PE13_MFP PE.13 Pin Function Selection 20 3 read-write PE14_MFP PE.14 Pin Function Selection 24 3 read-write PE15_MFP PE.15 Pin Function Selection 28 3 read-write PE8_MFP PE.8 Pin Function Selection 0 3 read-write PE9_MFP PE.9 Pin Function Selection 4 3 read-write PE_L_MFP PE_L_MFP Port E low byte multiple function control register 0x50 read-write n 0x0 0x0 PE0_MFP PE.0 Pin Function Selection 0 3 read-write PE1_MFP PE.1 Pin Function Selection 4 3 read-write PE2_MFP PE.2 Pin Function Selection 8 3 read-write PE3_MFP PE.3 Pin Function Selection 12 3 read-write PE4_MFP PE.4 Pin Function Selection 16 3 read-write PE5_MFP PE.5 Pin Function Selection 20 3 read-write PE6_MFP PE.6 Pin Function Selection\nAt LQFP-128 Package:\nGPIOE[6]\nAt LQFP-64 Package:\nGPIOE[6]\nAt LQFP-48 Package:\nGPIOE[6] 24 3 read-write PE7_MFP PE.7 Pin Function Selection 28 3 read-write PF_L_MFP PF_L_MFP Port F low byte multiple function control register 0x58 -1 read-write n 0x0 0x0 PF0_MFP PF.0 Pin Function Selection 0 3 read-write PF1_MFP PF.1 Pin Function Selection 4 3 read-write PF2_MFP PF.2 Pin Function Selection 8 3 read-write PF3_MFP PF.3 Pin Function Selection 12 3 read-write PF4_MFP PF.4 Pin Function Selection 16 3 read-write PF5_MFP PF.5 Pin Function Selection 20 3 read-write PORCTL PORCTL Power-On-Reset Controller Register 0x60 read-write n 0x0 0x0 POR_DIS_CODE Power-on Reset Enable Control This is a protected register. Please refer to open lock sequence to program it. When powered on, the POR circuit generates a reset signal to reset the whole chip function, but noise on the power may cause the POR active again. If setting the POR_DIS_CODE to 0x5AA5, the POR reset function will be disabled and the POR function will be active again when POR_DIS_CODE is set to another value or POR_DIS_CODE is reset by chip other reset functions, including: /RESET, Watchdog Timer reset, BOD reset, ICE reset command and the software-chip reset function 0 16 read-write RegLockAddr RegLockAddr Register Lock Key address 0x100 read-write n 0x0 0x0 RegUnLock None 0 1 read-write 0 Protected register are Locked. Any write to the target register is ignored #0 1 Protected registers are Unlocked #1 RST_SRC RST_SRC System Reset Source Register 0x4 read-write n 0x0 0x0 RSTS_BOD The RSTS_BOD flag is set by the reset signal from the Brown-out-Detected module to indicate the previous reset source. This bit is cleared by writing 1 to itself. 4 1 read-write 0 No reset from BOD #0 1 Brown-out-Detected module had issued the reset signal to reset the system #1 RSTS_CPU The RSTS_CPU flag is set by hardware if software writes CPU_RST (IPRST_CTL1[1]) 1 to rest Cortex-M0 CPU kernel and Flash memory controller (FMC). This bit is cleared by writing 1 to itself. 7 1 read-write 0 No reset from CPU #0 1 Cortex-M0 CPU kernel and FMC are reset by software setting CPU_RST to 1 #1 RSTS_PAD The RSTS_PAD flag is set by the reset signal from the /RESET pin to indicate the previous reset source. This bit is cleared by writing 1 to itself. 1 1 read-write 0 No reset from /RESET pin #0 1 The /RESET pin had issued the reset signal to reset the system #1 RSTS_POR The RSTS_POR flag is set by the reset signal from the Power-on Reset (POR) module or bit CHIP_RST (IPRSTC1[0]) to indicate the previous reset source. This bit is cleared by writing 1 to itself. 0 1 read-write 0 No reset from POR or CHIP_RST #0 1 Power-on Reset (POR) or CHIP_RST had issued the reset signal to reset the system #1 RSTS_SYS The RSTS_SYS flag is set by the reset signal from the Cortex_M0 kernel to indicate the previous reset source. This bit is cleared by writing 1 to itself. 5 1 read-write 0 No reset from Cortex_M0 #0 1 Cortex_M0 had issued the reset signal to reset the system by writing 1 to the bit SYSRESTREQ(AIRCR[2], Application Interrupt and Reset Control Register) in system control registers of Cortex_M0 kernel #1 RSTS_WDT The RSTS_WDT flag is set by the reset signal from the Watchdog Timer module to indicate the previous reset source. This bit is cleared by writing 1 to itself. 2 1 read-write 0 No reset from Watchdog Timer #0 1 The Watchdog Timer module had issued the reset signal to reset the system #1 TEMPCTL TEMPCTL Temperature Sensor Control Register 0x20 read-write n 0x0 0x0 VTEMP_EN Temperature Sensor Enable 0 1 read-write 0 Temperature sensor function Disabled (default) #0 1 Temperature sensor function Enabled #1 GP GP Register Map GP 0x0 0x0 0x28 registers n 0x100 0x28 registers n 0x140 0x28 registers n 0x180 0x4 registers n 0x200 0x158 registers n 0x40 0x28 registers n 0x80 0x28 registers n 0xC0 0x28 registers n DBNCECON DBNCECON De-bounce Cycle Control Register 0x180 read-write n 0x0 0x0 DBCLKSRC De-bounce Counter Clock Source Selection 4 1 read-write 0 De-bounce counter Clock Source is the HCLK #0 1 De-bounce counter Clock Source is the internal 10 kHz clock #1 DBCLK_ON De-bounce Clock Enable\nThis bit controls if the de-bounce clock is enabled.\nHowever, if GPI/O pin's interrupt is enabled, the de-bounce clock will be enabled automatically no matter what the DBCLK_ON value is.\nIf CPU is in sleep mode, this bit didn't take effect. And only the GPI/O pin with interrupt enable could get de-bounce clock. 5 1 read-write 0 De-bounce clock Disabled #0 1 De-bounce clock Enabled #1 PUEN De-bounce Sampling Cycle Selection 0 1 read-write GPIOA0 GPIOA0 GPIO Port A Bit 0 Data Register 0x200 read-write n 0x0 0x0 GPIO GPIO Port [x] Pin [n] I/O Data\nThis field supports the bit operation mode on related GPIO port [x] pin [n].\nWriting this filed to set the corresponding GPIO port [x] pin [n] output value while reading this field to get the corresponding GPIO port [x] pin [n] value.\nRead:\n\nNote: The write operation will not be affected by register GPIOx_DMASK. 0 1 read-write 0 The corresponding GPIO port [x] pin [n] value is low.\nSet corresponding GPIO port [x] pin [n] to low #0 1 The corresponding GPIO port [x] pin [n] value is high.\nSet corresponding GPIO port [x] pin [n] to high #1 GPIOA1 GPIOA1 GPIO Port A Bit 1 Data Register 0x204 read-write n 0x0 0x0 GPIOA10 GPIOA10 GPIO Port A Bit 10 Data Register 0x228 read-write n 0x0 0x0 GPIOA11 GPIOA11 GPIO Port A Bit 11 Data Register 0x22C read-write n 0x0 0x0 GPIOA12 GPIOA12 GPIO Port A Bit 12 Data Register 0x230 read-write n 0x0 0x0 GPIOA13 GPIOA13 GPIO Port A Bit 13 Data Register 0x234 read-write n 0x0 0x0 GPIOA14 GPIOA14 GPIO Port A Bit 14 Data Register 0x238 read-write n 0x0 0x0 GPIOA15 GPIOA15 GPIO Port A Bit 15 Data Register 0x23C read-write n 0x0 0x0 GPIOA2 GPIOA2 GPIO Port A Bit 2 Data Register 0x208 read-write n 0x0 0x0 GPIOA3 GPIOA3 GPIO Port A Bit 3 Data Register 0x20C read-write n 0x0 0x0 GPIOA4 GPIOA4 GPIO Port A Bit 4 Data Register 0x210 read-write n 0x0 0x0 GPIOA5 GPIOA5 GPIO Port A Bit 5 Data Register 0x214 read-write n 0x0 0x0 GPIOA6 GPIOA6 GPIO Port A Bit 6 Data Register 0x218 read-write n 0x0 0x0 GPIOA7 GPIOA7 GPIO Port A Bit 7 Data Register 0x21C read-write n 0x0 0x0 GPIOA8 GPIOA8 GPIO Port A Bit 8 Data Register 0x220 read-write n 0x0 0x0 GPIOA9 GPIOA9 GPIO Port A Bit 9 Data Register 0x224 read-write n 0x0 0x0 GPIOA_DBEN GPIOA_DBEN GPIO Port A De-bounce Enable Register 0x14 read-write n 0x0 0x0 DBEN0 GPIO Port [x] Pin [n] Input Signal De-bounce Enable DBEN[n] used to enable the de-bounce function for each corresponding bit. If the input signal pulse width cannot be sampled by continuous two de-bounce sample cycle the input signal transition is seen as the signal bounce and will not trigger the interrupt. DBEN[n] is used for edge-trigger interrupt only, and ignored for level trigger interrupt The de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored. Note: For GPIOF_DBEN, bits [15:6] are reserved. 0 1 read-write 0 The GPIO port [x] Pin [n] input signal de-bounce function is disabled #0 1 The GPIO port [x] Pin [n] input signal de-bounce function is enabled #1 DBEN1 GPIO Port [x] Pin [n] Input Signal De-bounce Enable DBEN[n] used to enable the de-bounce function for each corresponding bit. If the input signal pulse width cannot be sampled by continuous two de-bounce sample cycle the input signal transition is seen as the signal bounce and will not trigger the interrupt. DBEN[n] is used for edge-trigger interrupt only, and ignored for level trigger interrupt The de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored. Note: For GPIOF_DBEN, bits [15:6] are reserved. 1 1 read-write 0 The GPIO port [x] Pin [n] input signal de-bounce function is disabled #0 1 The GPIO port [x] Pin [n] input signal de-bounce function is enabled #1 DBEN10 GPIO Port [x] Pin [n] Input Signal De-bounce Enable DBEN[n] used to enable the de-bounce function for each corresponding bit. If the input signal pulse width cannot be sampled by continuous two de-bounce sample cycle the input signal transition is seen as the signal bounce and will not trigger the interrupt. DBEN[n] is used for edge-trigger interrupt only, and ignored for level trigger interrupt The de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored. Note: For GPIOF_DBEN, bits [15:6] are reserved. 10 1 read-write 0 The GPIO port [x] Pin [n] input signal de-bounce function is disabled #0 1 The GPIO port [x] Pin [n] input signal de-bounce function is enabled #1 DBEN11 GPIO Port [x] Pin [n] Input Signal De-bounce Enable DBEN[n] used to enable the de-bounce function for each corresponding bit. If the input signal pulse width cannot be sampled by continuous two de-bounce sample cycle the input signal transition is seen as the signal bounce and will not trigger the interrupt. DBEN[n] is used for edge-trigger interrupt only, and ignored for level trigger interrupt The de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored. Note: For GPIOF_DBEN, bits [15:6] are reserved. 11 1 read-write 0 The GPIO port [x] Pin [n] input signal de-bounce function is disabled #0 1 The GPIO port [x] Pin [n] input signal de-bounce function is enabled #1 DBEN12 GPIO Port [x] Pin [n] Input Signal De-bounce Enable DBEN[n] used to enable the de-bounce function for each corresponding bit. If the input signal pulse width cannot be sampled by continuous two de-bounce sample cycle the input signal transition is seen as the signal bounce and will not trigger the interrupt. DBEN[n] is used for edge-trigger interrupt only, and ignored for level trigger interrupt The de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored. Note: For GPIOF_DBEN, bits [15:6] are reserved. 12 1 read-write 0 The GPIO port [x] Pin [n] input signal de-bounce function is disabled #0 1 The GPIO port [x] Pin [n] input signal de-bounce function is enabled #1 DBEN13 GPIO Port [x] Pin [n] Input Signal De-bounce Enable DBEN[n] used to enable the de-bounce function for each corresponding bit. If the input signal pulse width cannot be sampled by continuous two de-bounce sample cycle the input signal transition is seen as the signal bounce and will not trigger the interrupt. DBEN[n] is used for edge-trigger interrupt only, and ignored for level trigger interrupt The de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored. Note: For GPIOF_DBEN, bits [15:6] are reserved. 13 1 read-write 0 The GPIO port [x] Pin [n] input signal de-bounce function is disabled #0 1 The GPIO port [x] Pin [n] input signal de-bounce function is enabled #1 DBEN14 GPIO Port [x] Pin [n] Input Signal De-bounce Enable DBEN[n] used to enable the de-bounce function for each corresponding bit. If the input signal pulse width cannot be sampled by continuous two de-bounce sample cycle the input signal transition is seen as the signal bounce and will not trigger the interrupt. DBEN[n] is used for edge-trigger interrupt only, and ignored for level trigger interrupt The de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored. Note: For GPIOF_DBEN, bits [15:6] are reserved. 14 1 read-write 0 The GPIO port [x] Pin [n] input signal de-bounce function is disabled #0 1 The GPIO port [x] Pin [n] input signal de-bounce function is enabled #1 DBEN15 GPIO Port [x] Pin [n] Input Signal De-bounce Enable DBEN[n] used to enable the de-bounce function for each corresponding bit. If the input signal pulse width cannot be sampled by continuous two de-bounce sample cycle the input signal transition is seen as the signal bounce and will not trigger the interrupt. DBEN[n] is used for edge-trigger interrupt only, and ignored for level trigger interrupt The de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored. Note: For GPIOF_DBEN, bits [15:6] are reserved. 15 1 read-write 0 The GPIO port [x] Pin [n] input signal de-bounce function is disabled #0 1 The GPIO port [x] Pin [n] input signal de-bounce function is enabled #1 DBEN2 GPIO Port [x] Pin [n] Input Signal De-bounce Enable DBEN[n] used to enable the de-bounce function for each corresponding bit. If the input signal pulse width cannot be sampled by continuous two de-bounce sample cycle the input signal transition is seen as the signal bounce and will not trigger the interrupt. DBEN[n] is used for edge-trigger interrupt only, and ignored for level trigger interrupt The de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored. Note: For GPIOF_DBEN, bits [15:6] are reserved. 2 1 read-write 0 The GPIO port [x] Pin [n] input signal de-bounce function is disabled #0 1 The GPIO port [x] Pin [n] input signal de-bounce function is enabled #1 DBEN3 GPIO Port [x] Pin [n] Input Signal De-bounce Enable DBEN[n] used to enable the de-bounce function for each corresponding bit. If the input signal pulse width cannot be sampled by continuous two de-bounce sample cycle the input signal transition is seen as the signal bounce and will not trigger the interrupt. DBEN[n] is used for edge-trigger interrupt only, and ignored for level trigger interrupt The de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored. Note: For GPIOF_DBEN, bits [15:6] are reserved. 3 1 read-write 0 The GPIO port [x] Pin [n] input signal de-bounce function is disabled #0 1 The GPIO port [x] Pin [n] input signal de-bounce function is enabled #1 DBEN4 GPIO Port [x] Pin [n] Input Signal De-bounce Enable DBEN[n] used to enable the de-bounce function for each corresponding bit. If the input signal pulse width cannot be sampled by continuous two de-bounce sample cycle the input signal transition is seen as the signal bounce and will not trigger the interrupt. DBEN[n] is used for edge-trigger interrupt only, and ignored for level trigger interrupt The de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored. Note: For GPIOF_DBEN, bits [15:6] are reserved. 4 1 read-write 0 The GPIO port [x] Pin [n] input signal de-bounce function is disabled #0 1 The GPIO port [x] Pin [n] input signal de-bounce function is enabled #1 DBEN5 GPIO Port [x] Pin [n] Input Signal De-bounce Enable DBEN[n] used to enable the de-bounce function for each corresponding bit. If the input signal pulse width cannot be sampled by continuous two de-bounce sample cycle the input signal transition is seen as the signal bounce and will not trigger the interrupt. DBEN[n] is used for edge-trigger interrupt only, and ignored for level trigger interrupt The de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored. Note: For GPIOF_DBEN, bits [15:6] are reserved. 5 1 read-write 0 The GPIO port [x] Pin [n] input signal de-bounce function is disabled #0 1 The GPIO port [x] Pin [n] input signal de-bounce function is enabled #1 DBEN6 GPIO Port [x] Pin [n] Input Signal De-bounce Enable DBEN[n] used to enable the de-bounce function for each corresponding bit. If the input signal pulse width cannot be sampled by continuous two de-bounce sample cycle the input signal transition is seen as the signal bounce and will not trigger the interrupt. DBEN[n] is used for edge-trigger interrupt only, and ignored for level trigger interrupt The de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored. Note: For GPIOF_DBEN, bits [15:6] are reserved. 6 1 read-write 0 The GPIO port [x] Pin [n] input signal de-bounce function is disabled #0 1 The GPIO port [x] Pin [n] input signal de-bounce function is enabled #1 DBEN7 GPIO Port [x] Pin [n] Input Signal De-bounce Enable DBEN[n] used to enable the de-bounce function for each corresponding bit. If the input signal pulse width cannot be sampled by continuous two de-bounce sample cycle the input signal transition is seen as the signal bounce and will not trigger the interrupt. DBEN[n] is used for edge-trigger interrupt only, and ignored for level trigger interrupt The de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored. Note: For GPIOF_DBEN, bits [15:6] are reserved. 7 1 read-write 0 The GPIO port [x] Pin [n] input signal de-bounce function is disabled #0 1 The GPIO port [x] Pin [n] input signal de-bounce function is enabled #1 DBEN8 GPIO Port [x] Pin [n] Input Signal De-bounce Enable DBEN[n] used to enable the de-bounce function for each corresponding bit. If the input signal pulse width cannot be sampled by continuous two de-bounce sample cycle the input signal transition is seen as the signal bounce and will not trigger the interrupt. DBEN[n] is used for edge-trigger interrupt only, and ignored for level trigger interrupt The de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored. Note: For GPIOF_DBEN, bits [15:6] are reserved. 8 1 read-write 0 The GPIO port [x] Pin [n] input signal de-bounce function is disabled #0 1 The GPIO port [x] Pin [n] input signal de-bounce function is enabled #1 DBEN9 GPIO Port [x] Pin [n] Input Signal De-bounce Enable DBEN[n] used to enable the de-bounce function for each corresponding bit. If the input signal pulse width cannot be sampled by continuous two de-bounce sample cycle the input signal transition is seen as the signal bounce and will not trigger the interrupt. DBEN[n] is used for edge-trigger interrupt only, and ignored for level trigger interrupt The de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored. Note: For GPIOF_DBEN, bits [15:6] are reserved. 9 1 read-write 0 The GPIO port [x] Pin [n] input signal de-bounce function is disabled #0 1 The GPIO port [x] Pin [n] input signal de-bounce function is enabled #1 GPIOA_DMASK GPIOA_DMASK GPIO Port A Data Output Write Mask Register 0xC read-write n 0x0 0x0 DMASK0 GPIO Port [x] Pin [n] Data Output Write Mask These bits are used to protect the corresponding register of GPIOx_DOUT bit [n]. When set the DMASK[n] to 1 , the corresponding DOUT[n] bit is protected. The write signal is masked, write data to the protect bit is ignored Note: For GPIOF_DMASK, bits [15:6] are reserved. Note: These mask bits only take effect while CPU is doing write operation to register GPIOx_DOUT. If CPU is doing write operation to register GPIO[x][n], these mask bits will not take effect. 0 1 read-write 0 The corresponding GPIO_DOUT bit [n] can be updated #0 1 The corresponding GPIO_DOUT bit [n] is protected #1 DMASK1 GPIO Port [x] Pin [n] Data Output Write Mask These bits are used to protect the corresponding register of GPIOx_DOUT bit [n]. When set the DMASK[n] to 1 , the corresponding DOUT[n] bit is protected. The write signal is masked, write data to the protect bit is ignored Note: For GPIOF_DMASK, bits [15:6] are reserved. Note: These mask bits only take effect while CPU is doing write operation to register GPIOx_DOUT. If CPU is doing write operation to register GPIO[x][n], these mask bits will not take effect. 1 1 read-write 0 The corresponding GPIO_DOUT bit [n] can be updated #0 1 The corresponding GPIO_DOUT bit [n] is protected #1 DMASK10 GPIO Port [x] Pin [n] Data Output Write Mask These bits are used to protect the corresponding register of GPIOx_DOUT bit [n]. When set the DMASK[n] to 1 , the corresponding DOUT[n] bit is protected. The write signal is masked, write data to the protect bit is ignored Note: For GPIOF_DMASK, bits [15:6] are reserved. Note: These mask bits only take effect while CPU is doing write operation to register GPIOx_DOUT. If CPU is doing write operation to register GPIO[x][n], these mask bits will not take effect. 10 1 read-write 0 The corresponding GPIO_DOUT bit [n] can be updated #0 1 The corresponding GPIO_DOUT bit [n] is protected #1 DMASK11 GPIO Port [x] Pin [n] Data Output Write Mask These bits are used to protect the corresponding register of GPIOx_DOUT bit [n]. When set the DMASK[n] to 1 , the corresponding DOUT[n] bit is protected. The write signal is masked, write data to the protect bit is ignored Note: For GPIOF_DMASK, bits [15:6] are reserved. Note: These mask bits only take effect while CPU is doing write operation to register GPIOx_DOUT. If CPU is doing write operation to register GPIO[x][n], these mask bits will not take effect. 11 1 read-write 0 The corresponding GPIO_DOUT bit [n] can be updated #0 1 The corresponding GPIO_DOUT bit [n] is protected #1 DMASK12 GPIO Port [x] Pin [n] Data Output Write Mask These bits are used to protect the corresponding register of GPIOx_DOUT bit [n]. When set the DMASK[n] to 1 , the corresponding DOUT[n] bit is protected. The write signal is masked, write data to the protect bit is ignored Note: For GPIOF_DMASK, bits [15:6] are reserved. Note: These mask bits only take effect while CPU is doing write operation to register GPIOx_DOUT. If CPU is doing write operation to register GPIO[x][n], these mask bits will not take effect. 12 1 read-write 0 The corresponding GPIO_DOUT bit [n] can be updated #0 1 The corresponding GPIO_DOUT bit [n] is protected #1 DMASK13 GPIO Port [x] Pin [n] Data Output Write Mask These bits are used to protect the corresponding register of GPIOx_DOUT bit [n]. When set the DMASK[n] to 1 , the corresponding DOUT[n] bit is protected. The write signal is masked, write data to the protect bit is ignored Note: For GPIOF_DMASK, bits [15:6] are reserved. Note: These mask bits only take effect while CPU is doing write operation to register GPIOx_DOUT. If CPU is doing write operation to register GPIO[x][n], these mask bits will not take effect. 13 1 read-write 0 The corresponding GPIO_DOUT bit [n] can be updated #0 1 The corresponding GPIO_DOUT bit [n] is protected #1 DMASK14 GPIO Port [x] Pin [n] Data Output Write Mask These bits are used to protect the corresponding register of GPIOx_DOUT bit [n]. When set the DMASK[n] to 1 , the corresponding DOUT[n] bit is protected. The write signal is masked, write data to the protect bit is ignored Note: For GPIOF_DMASK, bits [15:6] are reserved. Note: These mask bits only take effect while CPU is doing write operation to register GPIOx_DOUT. If CPU is doing write operation to register GPIO[x][n], these mask bits will not take effect. 14 1 read-write 0 The corresponding GPIO_DOUT bit [n] can be updated #0 1 The corresponding GPIO_DOUT bit [n] is protected #1 DMASK15 GPIO Port [x] Pin [n] Data Output Write Mask These bits are used to protect the corresponding register of GPIOx_DOUT bit [n]. When set the DMASK[n] to 1 , the corresponding DOUT[n] bit is protected. The write signal is masked, write data to the protect bit is ignored Note: For GPIOF_DMASK, bits [15:6] are reserved. Note: These mask bits only take effect while CPU is doing write operation to register GPIOx_DOUT. If CPU is doing write operation to register GPIO[x][n], these mask bits will not take effect. 15 1 read-write 0 The corresponding GPIO_DOUT bit [n] can be updated #0 1 The corresponding GPIO_DOUT bit [n] is protected #1 DMASK2 GPIO Port [x] Pin [n] Data Output Write Mask These bits are used to protect the corresponding register of GPIOx_DOUT bit [n]. When set the DMASK[n] to 1 , the corresponding DOUT[n] bit is protected. The write signal is masked, write data to the protect bit is ignored Note: For GPIOF_DMASK, bits [15:6] are reserved. Note: These mask bits only take effect while CPU is doing write operation to register GPIOx_DOUT. If CPU is doing write operation to register GPIO[x][n], these mask bits will not take effect. 2 1 read-write 0 The corresponding GPIO_DOUT bit [n] can be updated #0 1 The corresponding GPIO_DOUT bit [n] is protected #1 DMASK3 GPIO Port [x] Pin [n] Data Output Write Mask These bits are used to protect the corresponding register of GPIOx_DOUT bit [n]. When set the DMASK[n] to 1 , the corresponding DOUT[n] bit is protected. The write signal is masked, write data to the protect bit is ignored Note: For GPIOF_DMASK, bits [15:6] are reserved. Note: These mask bits only take effect while CPU is doing write operation to register GPIOx_DOUT. If CPU is doing write operation to register GPIO[x][n], these mask bits will not take effect. 3 1 read-write 0 The corresponding GPIO_DOUT bit [n] can be updated #0 1 The corresponding GPIO_DOUT bit [n] is protected #1 DMASK4 GPIO Port [x] Pin [n] Data Output Write Mask These bits are used to protect the corresponding register of GPIOx_DOUT bit [n]. When set the DMASK[n] to 1 , the corresponding DOUT[n] bit is protected. The write signal is masked, write data to the protect bit is ignored Note: For GPIOF_DMASK, bits [15:6] are reserved. Note: These mask bits only take effect while CPU is doing write operation to register GPIOx_DOUT. If CPU is doing write operation to register GPIO[x][n], these mask bits will not take effect. 4 1 read-write 0 The corresponding GPIO_DOUT bit [n] can be updated #0 1 The corresponding GPIO_DOUT bit [n] is protected #1 DMASK5 GPIO Port [x] Pin [n] Data Output Write Mask These bits are used to protect the corresponding register of GPIOx_DOUT bit [n]. When set the DMASK[n] to 1 , the corresponding DOUT[n] bit is protected. The write signal is masked, write data to the protect bit is ignored Note: For GPIOF_DMASK, bits [15:6] are reserved. Note: These mask bits only take effect while CPU is doing write operation to register GPIOx_DOUT. If CPU is doing write operation to register GPIO[x][n], these mask bits will not take effect. 5 1 read-write 0 The corresponding GPIO_DOUT bit [n] can be updated #0 1 The corresponding GPIO_DOUT bit [n] is protected #1 DMASK6 GPIO Port [x] Pin [n] Data Output Write Mask These bits are used to protect the corresponding register of GPIOx_DOUT bit [n]. When set the DMASK[n] to 1 , the corresponding DOUT[n] bit is protected. The write signal is masked, write data to the protect bit is ignored Note: For GPIOF_DMASK, bits [15:6] are reserved. Note: These mask bits only take effect while CPU is doing write operation to register GPIOx_DOUT. If CPU is doing write operation to register GPIO[x][n], these mask bits will not take effect. 6 1 read-write 0 The corresponding GPIO_DOUT bit [n] can be updated #0 1 The corresponding GPIO_DOUT bit [n] is protected #1 DMASK7 GPIO Port [x] Pin [n] Data Output Write Mask These bits are used to protect the corresponding register of GPIOx_DOUT bit [n]. When set the DMASK[n] to 1 , the corresponding DOUT[n] bit is protected. The write signal is masked, write data to the protect bit is ignored Note: For GPIOF_DMASK, bits [15:6] are reserved. Note: These mask bits only take effect while CPU is doing write operation to register GPIOx_DOUT. If CPU is doing write operation to register GPIO[x][n], these mask bits will not take effect. 7 1 read-write 0 The corresponding GPIO_DOUT bit [n] can be updated #0 1 The corresponding GPIO_DOUT bit [n] is protected #1 DMASK8 GPIO Port [x] Pin [n] Data Output Write Mask These bits are used to protect the corresponding register of GPIOx_DOUT bit [n]. When set the DMASK[n] to 1 , the corresponding DOUT[n] bit is protected. The write signal is masked, write data to the protect bit is ignored Note: For GPIOF_DMASK, bits [15:6] are reserved. Note: These mask bits only take effect while CPU is doing write operation to register GPIOx_DOUT. If CPU is doing write operation to register GPIO[x][n], these mask bits will not take effect. 8 1 read-write 0 The corresponding GPIO_DOUT bit [n] can be updated #0 1 The corresponding GPIO_DOUT bit [n] is protected #1 DMASK9 GPIO Port [x] Pin [n] Data Output Write Mask These bits are used to protect the corresponding register of GPIOx_DOUT bit [n]. When set the DMASK[n] to 1 , the corresponding DOUT[n] bit is protected. The write signal is masked, write data to the protect bit is ignored Note: For GPIOF_DMASK, bits [15:6] are reserved. Note: These mask bits only take effect while CPU is doing write operation to register GPIOx_DOUT. If CPU is doing write operation to register GPIO[x][n], these mask bits will not take effect. 9 1 read-write 0 The corresponding GPIO_DOUT bit [n] can be updated #0 1 The corresponding GPIO_DOUT bit [n] is protected #1 GPIOA_DOUT GPIOA_DOUT GPIO Port A Data Output Value Register 0x8 -1 read-write n 0x0 0x0 DOUT0 GPIO Port [x] Pin [n] Output Value\nEach of these bits controls the status of a GPIO port [x] pin [n] when the GPI/O pin is configures as output or open-drain mode\nNote: For GPIOF_DOUT, bits [15:6] are reserved. 0 1 read-write 0 GPIO port [x] Pin [n] will drive Low if the corresponding output mode enabling bit is set #0 1 GPIO port [x] Pin [n] will drive High if the corresponding output mode enabling bit is set #1 DOUT1 GPIO Port [x] Pin [n] Output Value\nEach of these bits controls the status of a GPIO port [x] pin [n] when the GPI/O pin is configures as output or open-drain mode\nNote: For GPIOF_DOUT, bits [15:6] are reserved. 1 1 read-write 0 GPIO port [x] Pin [n] will drive Low if the corresponding output mode enabling bit is set #0 1 GPIO port [x] Pin [n] will drive High if the corresponding output mode enabling bit is set #1 DOUT10 GPIO Port [x] Pin [n] Output Value\nEach of these bits controls the status of a GPIO port [x] pin [n] when the GPI/O pin is configures as output or open-drain mode\nNote: For GPIOF_DOUT, bits [15:6] are reserved. 10 1 read-write 0 GPIO port [x] Pin [n] will drive Low if the corresponding output mode enabling bit is set #0 1 GPIO port [x] Pin [n] will drive High if the corresponding output mode enabling bit is set #1 DOUT11 GPIO Port [x] Pin [n] Output Value\nEach of these bits controls the status of a GPIO port [x] pin [n] when the GPI/O pin is configures as output or open-drain mode\nNote: For GPIOF_DOUT, bits [15:6] are reserved. 11 1 read-write 0 GPIO port [x] Pin [n] will drive Low if the corresponding output mode enabling bit is set #0 1 GPIO port [x] Pin [n] will drive High if the corresponding output mode enabling bit is set #1 DOUT12 GPIO Port [x] Pin [n] Output Value\nEach of these bits controls the status of a GPIO port [x] pin [n] when the GPI/O pin is configures as output or open-drain mode\nNote: For GPIOF_DOUT, bits [15:6] are reserved. 12 1 read-write 0 GPIO port [x] Pin [n] will drive Low if the corresponding output mode enabling bit is set #0 1 GPIO port [x] Pin [n] will drive High if the corresponding output mode enabling bit is set #1 DOUT13 GPIO Port [x] Pin [n] Output Value\nEach of these bits controls the status of a GPIO port [x] pin [n] when the GPI/O pin is configures as output or open-drain mode\nNote: For GPIOF_DOUT, bits [15:6] are reserved. 13 1 read-write 0 GPIO port [x] Pin [n] will drive Low if the corresponding output mode enabling bit is set #0 1 GPIO port [x] Pin [n] will drive High if the corresponding output mode enabling bit is set #1 DOUT14 GPIO Port [x] Pin [n] Output Value\nEach of these bits controls the status of a GPIO port [x] pin [n] when the GPI/O pin is configures as output or open-drain mode\nNote: For GPIOF_DOUT, bits [15:6] are reserved. 14 1 read-write 0 GPIO port [x] Pin [n] will drive Low if the corresponding output mode enabling bit is set #0 1 GPIO port [x] Pin [n] will drive High if the corresponding output mode enabling bit is set #1 DOUT15 GPIO Port [x] Pin [n] Output Value\nEach of these bits controls the status of a GPIO port [x] pin [n] when the GPI/O pin is configures as output or open-drain mode\nNote: For GPIOF_DOUT, bits [15:6] are reserved. 15 1 read-write 0 GPIO port [x] Pin [n] will drive Low if the corresponding output mode enabling bit is set #0 1 GPIO port [x] Pin [n] will drive High if the corresponding output mode enabling bit is set #1 DOUT2 GPIO Port [x] Pin [n] Output Value\nEach of these bits controls the status of a GPIO port [x] pin [n] when the GPI/O pin is configures as output or open-drain mode\nNote: For GPIOF_DOUT, bits [15:6] are reserved. 2 1 read-write 0 GPIO port [x] Pin [n] will drive Low if the corresponding output mode enabling bit is set #0 1 GPIO port [x] Pin [n] will drive High if the corresponding output mode enabling bit is set #1 DOUT3 GPIO Port [x] Pin [n] Output Value\nEach of these bits controls the status of a GPIO port [x] pin [n] when the GPI/O pin is configures as output or open-drain mode\nNote: For GPIOF_DOUT, bits [15:6] are reserved. 3 1 read-write 0 GPIO port [x] Pin [n] will drive Low if the corresponding output mode enabling bit is set #0 1 GPIO port [x] Pin [n] will drive High if the corresponding output mode enabling bit is set #1 DOUT4 GPIO Port [x] Pin [n] Output Value\nEach of these bits controls the status of a GPIO port [x] pin [n] when the GPI/O pin is configures as output or open-drain mode\nNote: For GPIOF_DOUT, bits [15:6] are reserved. 4 1 read-write 0 GPIO port [x] Pin [n] will drive Low if the corresponding output mode enabling bit is set #0 1 GPIO port [x] Pin [n] will drive High if the corresponding output mode enabling bit is set #1 DOUT5 GPIO Port [x] Pin [n] Output Value\nEach of these bits controls the status of a GPIO port [x] pin [n] when the GPI/O pin is configures as output or open-drain mode\nNote: For GPIOF_DOUT, bits [15:6] are reserved. 5 1 read-write 0 GPIO port [x] Pin [n] will drive Low if the corresponding output mode enabling bit is set #0 1 GPIO port [x] Pin [n] will drive High if the corresponding output mode enabling bit is set #1 DOUT6 GPIO Port [x] Pin [n] Output Value\nEach of these bits controls the status of a GPIO port [x] pin [n] when the GPI/O pin is configures as output or open-drain mode\nNote: For GPIOF_DOUT, bits [15:6] are reserved. 6 1 read-write 0 GPIO port [x] Pin [n] will drive Low if the corresponding output mode enabling bit is set #0 1 GPIO port [x] Pin [n] will drive High if the corresponding output mode enabling bit is set #1 DOUT7 GPIO Port [x] Pin [n] Output Value\nEach of these bits controls the status of a GPIO port [x] pin [n] when the GPI/O pin is configures as output or open-drain mode\nNote: For GPIOF_DOUT, bits [15:6] are reserved. 7 1 read-write 0 GPIO port [x] Pin [n] will drive Low if the corresponding output mode enabling bit is set #0 1 GPIO port [x] Pin [n] will drive High if the corresponding output mode enabling bit is set #1 DOUT8 GPIO Port [x] Pin [n] Output Value\nEach of these bits controls the status of a GPIO port [x] pin [n] when the GPI/O pin is configures as output or open-drain mode\nNote: For GPIOF_DOUT, bits [15:6] are reserved. 8 1 read-write 0 GPIO port [x] Pin [n] will drive Low if the corresponding output mode enabling bit is set #0 1 GPIO port [x] Pin [n] will drive High if the corresponding output mode enabling bit is set #1 DOUT9 GPIO Port [x] Pin [n] Output Value\nEach of these bits controls the status of a GPIO port [x] pin [n] when the GPI/O pin is configures as output or open-drain mode\nNote: For GPIOF_DOUT, bits [15:6] are reserved. 9 1 read-write 0 GPIO port [x] Pin [n] will drive Low if the corresponding output mode enabling bit is set #0 1 GPIO port [x] Pin [n] will drive High if the corresponding output mode enabling bit is set #1 GPIOA_IER GPIOA_IER GPIO Port A Interrupt Enable Register 0x1C read-write n 0x0 0x0 FIER0 GPIO Port [x] Pin [n] Interrupt Enable by Input Falling Edge or Input Level Low FIER[n] used to enable the interrupt for each of the corresponding input GPIO_PIN[n]. Set bit 1 also enable the pin wake-up function When set the FIER[n] bit 1 : If the interrupt is level mode trigger, the input PIN[n] state at level low will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[n] state change from high-to-low will generate the interrupt. Note: For GPIOF_IER, bits [15:6] are reserved. 0 1 read-write 0 PIN[n] state low-level or high-to-low change interrupt Disabled #0 1 PIN[n] state low-level or high-to-low change interrupt Enabled #1 FIER1 GPIO Port [x] Pin [n] Interrupt Enable by Input Falling Edge or Input Level Low FIER[n] used to enable the interrupt for each of the corresponding input GPIO_PIN[n]. Set bit 1 also enable the pin wake-up function When set the FIER[n] bit 1 : If the interrupt is level mode trigger, the input PIN[n] state at level low will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[n] state change from high-to-low will generate the interrupt. Note: For GPIOF_IER, bits [15:6] are reserved. 1 1 read-write 0 PIN[n] state low-level or high-to-low change interrupt Disabled #0 1 PIN[n] state low-level or high-to-low change interrupt Enabled #1 FIER10 GPIO Port [x] Pin [n] Interrupt Enable by Input Falling Edge or Input Level Low FIER[n] used to enable the interrupt for each of the corresponding input GPIO_PIN[n]. Set bit 1 also enable the pin wake-up function When set the FIER[n] bit 1 : If the interrupt is level mode trigger, the input PIN[n] state at level low will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[n] state change from high-to-low will generate the interrupt. Note: For GPIOF_IER, bits [15:6] are reserved. 10 1 read-write 0 PIN[n] state low-level or high-to-low change interrupt Disabled #0 1 PIN[n] state low-level or high-to-low change interrupt Enabled #1 FIER11 GPIO Port [x] Pin [n] Interrupt Enable by Input Falling Edge or Input Level Low FIER[n] used to enable the interrupt for each of the corresponding input GPIO_PIN[n]. Set bit 1 also enable the pin wake-up function When set the FIER[n] bit 1 : If the interrupt is level mode trigger, the input PIN[n] state at level low will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[n] state change from high-to-low will generate the interrupt. Note: For GPIOF_IER, bits [15:6] are reserved. 11 1 read-write 0 PIN[n] state low-level or high-to-low change interrupt Disabled #0 1 PIN[n] state low-level or high-to-low change interrupt Enabled #1 FIER12 GPIO Port [x] Pin [n] Interrupt Enable by Input Falling Edge or Input Level Low FIER[n] used to enable the interrupt for each of the corresponding input GPIO_PIN[n]. Set bit 1 also enable the pin wake-up function When set the FIER[n] bit 1 : If the interrupt is level mode trigger, the input PIN[n] state at level low will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[n] state change from high-to-low will generate the interrupt. Note: For GPIOF_IER, bits [15:6] are reserved. 12 1 read-write 0 PIN[n] state low-level or high-to-low change interrupt Disabled #0 1 PIN[n] state low-level or high-to-low change interrupt Enabled #1 FIER13 GPIO Port [x] Pin [n] Interrupt Enable by Input Falling Edge or Input Level Low FIER[n] used to enable the interrupt for each of the corresponding input GPIO_PIN[n]. Set bit 1 also enable the pin wake-up function When set the FIER[n] bit 1 : If the interrupt is level mode trigger, the input PIN[n] state at level low will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[n] state change from high-to-low will generate the interrupt. Note: For GPIOF_IER, bits [15:6] are reserved. 13 1 read-write 0 PIN[n] state low-level or high-to-low change interrupt Disabled #0 1 PIN[n] state low-level or high-to-low change interrupt Enabled #1 FIER14 GPIO Port [x] Pin [n] Interrupt Enable by Input Falling Edge or Input Level Low FIER[n] used to enable the interrupt for each of the corresponding input GPIO_PIN[n]. Set bit 1 also enable the pin wake-up function When set the FIER[n] bit 1 : If the interrupt is level mode trigger, the input PIN[n] state at level low will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[n] state change from high-to-low will generate the interrupt. Note: For GPIOF_IER, bits [15:6] are reserved. 14 1 read-write 0 PIN[n] state low-level or high-to-low change interrupt Disabled #0 1 PIN[n] state low-level or high-to-low change interrupt Enabled #1 FIER15 GPIO Port [x] Pin [n] Interrupt Enable by Input Falling Edge or Input Level Low FIER[n] used to enable the interrupt for each of the corresponding input GPIO_PIN[n]. Set bit 1 also enable the pin wake-up function When set the FIER[n] bit 1 : If the interrupt is level mode trigger, the input PIN[n] state at level low will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[n] state change from high-to-low will generate the interrupt. Note: For GPIOF_IER, bits [15:6] are reserved. 15 1 read-write 0 PIN[n] state low-level or high-to-low change interrupt Disabled #0 1 PIN[n] state low-level or high-to-low change interrupt Enabled #1 FIER2 GPIO Port [x] Pin [n] Interrupt Enable by Input Falling Edge or Input Level Low FIER[n] used to enable the interrupt for each of the corresponding input GPIO_PIN[n]. Set bit 1 also enable the pin wake-up function When set the FIER[n] bit 1 : If the interrupt is level mode trigger, the input PIN[n] state at level low will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[n] state change from high-to-low will generate the interrupt. Note: For GPIOF_IER, bits [15:6] are reserved. 2 1 read-write 0 PIN[n] state low-level or high-to-low change interrupt Disabled #0 1 PIN[n] state low-level or high-to-low change interrupt Enabled #1 FIER3 GPIO Port [x] Pin [n] Interrupt Enable by Input Falling Edge or Input Level Low FIER[n] used to enable the interrupt for each of the corresponding input GPIO_PIN[n]. Set bit 1 also enable the pin wake-up function When set the FIER[n] bit 1 : If the interrupt is level mode trigger, the input PIN[n] state at level low will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[n] state change from high-to-low will generate the interrupt. Note: For GPIOF_IER, bits [15:6] are reserved. 3 1 read-write 0 PIN[n] state low-level or high-to-low change interrupt Disabled #0 1 PIN[n] state low-level or high-to-low change interrupt Enabled #1 FIER4 GPIO Port [x] Pin [n] Interrupt Enable by Input Falling Edge or Input Level Low FIER[n] used to enable the interrupt for each of the corresponding input GPIO_PIN[n]. Set bit 1 also enable the pin wake-up function When set the FIER[n] bit 1 : If the interrupt is level mode trigger, the input PIN[n] state at level low will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[n] state change from high-to-low will generate the interrupt. Note: For GPIOF_IER, bits [15:6] are reserved. 4 1 read-write 0 PIN[n] state low-level or high-to-low change interrupt Disabled #0 1 PIN[n] state low-level or high-to-low change interrupt Enabled #1 FIER5 GPIO Port [x] Pin [n] Interrupt Enable by Input Falling Edge or Input Level Low FIER[n] used to enable the interrupt for each of the corresponding input GPIO_PIN[n]. Set bit 1 also enable the pin wake-up function When set the FIER[n] bit 1 : If the interrupt is level mode trigger, the input PIN[n] state at level low will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[n] state change from high-to-low will generate the interrupt. Note: For GPIOF_IER, bits [15:6] are reserved. 5 1 read-write 0 PIN[n] state low-level or high-to-low change interrupt Disabled #0 1 PIN[n] state low-level or high-to-low change interrupt Enabled #1 FIER6 GPIO Port [x] Pin [n] Interrupt Enable by Input Falling Edge or Input Level Low FIER[n] used to enable the interrupt for each of the corresponding input GPIO_PIN[n]. Set bit 1 also enable the pin wake-up function When set the FIER[n] bit 1 : If the interrupt is level mode trigger, the input PIN[n] state at level low will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[n] state change from high-to-low will generate the interrupt. Note: For GPIOF_IER, bits [15:6] are reserved. 6 1 read-write 0 PIN[n] state low-level or high-to-low change interrupt Disabled #0 1 PIN[n] state low-level or high-to-low change interrupt Enabled #1 FIER7 GPIO Port [x] Pin [n] Interrupt Enable by Input Falling Edge or Input Level Low FIER[n] used to enable the interrupt for each of the corresponding input GPIO_PIN[n]. Set bit 1 also enable the pin wake-up function When set the FIER[n] bit 1 : If the interrupt is level mode trigger, the input PIN[n] state at level low will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[n] state change from high-to-low will generate the interrupt. Note: For GPIOF_IER, bits [15:6] are reserved. 7 1 read-write 0 PIN[n] state low-level or high-to-low change interrupt Disabled #0 1 PIN[n] state low-level or high-to-low change interrupt Enabled #1 FIER8 GPIO Port [x] Pin [n] Interrupt Enable by Input Falling Edge or Input Level Low FIER[n] used to enable the interrupt for each of the corresponding input GPIO_PIN[n]. Set bit 1 also enable the pin wake-up function When set the FIER[n] bit 1 : If the interrupt is level mode trigger, the input PIN[n] state at level low will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[n] state change from high-to-low will generate the interrupt. Note: For GPIOF_IER, bits [15:6] are reserved. 8 1 read-write 0 PIN[n] state low-level or high-to-low change interrupt Disabled #0 1 PIN[n] state low-level or high-to-low change interrupt Enabled #1 FIER9 GPIO Port [x] Pin [n] Interrupt Enable by Input Falling Edge or Input Level Low FIER[n] used to enable the interrupt for each of the corresponding input GPIO_PIN[n]. Set bit 1 also enable the pin wake-up function When set the FIER[n] bit 1 : If the interrupt is level mode trigger, the input PIN[n] state at level low will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[n] state change from high-to-low will generate the interrupt. Note: For GPIOF_IER, bits [15:6] are reserved. 9 1 read-write 0 PIN[n] state low-level or high-to-low change interrupt Disabled #0 1 PIN[n] state low-level or high-to-low change interrupt Enabled #1 RIER0 GPIO Port [x] Pin [n] Interrupt Enable by Input Rising Edge or Input Level High RIER[x] used to enable the interrupt for each of the corresponding input GPIO_PIN[x]. Set bit 1 also enable the pin wake-up function When set the RIER[x] bit 1 : If the interrupt is level mode trigger, the input PIN[x] state at level high will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[x] state change from low-to-high will generate the interrupt. Note: For GPIOF_IE, bits [31:22] are reserved. 16 1 read-write 0 PIN[x] level-high or low-to-high interrupt Disabled #0 1 PIN[x] level-high or low-to-high interrupt Enabled #1 RIER1 GPIO Port [x] Pin [n] Interrupt Enable by Input Rising Edge or Input Level High RIER[x] used to enable the interrupt for each of the corresponding input GPIO_PIN[x]. Set bit 1 also enable the pin wake-up function When set the RIER[x] bit 1 : If the interrupt is level mode trigger, the input PIN[x] state at level high will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[x] state change from low-to-high will generate the interrupt. Note: For GPIOF_IE, bits [31:22] are reserved. 17 1 read-write 0 PIN[x] level-high or low-to-high interrupt Disabled #0 1 PIN[x] level-high or low-to-high interrupt Enabled #1 RIER10 GPIO Port [x] Pin [n] Interrupt Enable by Input Rising Edge or Input Level High RIER[x] used to enable the interrupt for each of the corresponding input GPIO_PIN[x]. Set bit 1 also enable the pin wake-up function When set the RIER[x] bit 1 : If the interrupt is level mode trigger, the input PIN[x] state at level high will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[x] state change from low-to-high will generate the interrupt. Note: For GPIOF_IE, bits [31:22] are reserved. 26 1 read-write 0 PIN[x] level-high or low-to-high interrupt Disabled #0 1 PIN[x] level-high or low-to-high interrupt Enabled #1 RIER11 GPIO Port [x] Pin [n] Interrupt Enable by Input Rising Edge or Input Level High RIER[x] used to enable the interrupt for each of the corresponding input GPIO_PIN[x]. Set bit 1 also enable the pin wake-up function When set the RIER[x] bit 1 : If the interrupt is level mode trigger, the input PIN[x] state at level high will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[x] state change from low-to-high will generate the interrupt. Note: For GPIOF_IE, bits [31:22] are reserved. 27 1 read-write 0 PIN[x] level-high or low-to-high interrupt Disabled #0 1 PIN[x] level-high or low-to-high interrupt Enabled #1 RIER12 GPIO Port [x] Pin [n] Interrupt Enable by Input Rising Edge or Input Level High RIER[x] used to enable the interrupt for each of the corresponding input GPIO_PIN[x]. Set bit 1 also enable the pin wake-up function When set the RIER[x] bit 1 : If the interrupt is level mode trigger, the input PIN[x] state at level high will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[x] state change from low-to-high will generate the interrupt. Note: For GPIOF_IE, bits [31:22] are reserved. 28 1 read-write 0 PIN[x] level-high or low-to-high interrupt Disabled #0 1 PIN[x] level-high or low-to-high interrupt Enabled #1 RIER13 GPIO Port [x] Pin [n] Interrupt Enable by Input Rising Edge or Input Level High RIER[x] used to enable the interrupt for each of the corresponding input GPIO_PIN[x]. Set bit 1 also enable the pin wake-up function When set the RIER[x] bit 1 : If the interrupt is level mode trigger, the input PIN[x] state at level high will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[x] state change from low-to-high will generate the interrupt. Note: For GPIOF_IE, bits [31:22] are reserved. 29 1 read-write 0 PIN[x] level-high or low-to-high interrupt Disabled #0 1 PIN[x] level-high or low-to-high interrupt Enabled #1 RIER14 GPIO Port [x] Pin [n] Interrupt Enable by Input Rising Edge or Input Level High RIER[x] used to enable the interrupt for each of the corresponding input GPIO_PIN[x]. Set bit 1 also enable the pin wake-up function When set the RIER[x] bit 1 : If the interrupt is level mode trigger, the input PIN[x] state at level high will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[x] state change from low-to-high will generate the interrupt. Note: For GPIOF_IE, bits [31:22] are reserved. 30 1 read-write 0 PIN[x] level-high or low-to-high interrupt Disabled #0 1 PIN[x] level-high or low-to-high interrupt Enabled #1 RIER15 GPIO Port [x] Pin [n] Interrupt Enable by Input Rising Edge or Input Level High RIER[x] used to enable the interrupt for each of the corresponding input GPIO_PIN[x]. Set bit 1 also enable the pin wake-up function When set the RIER[x] bit 1 : If the interrupt is level mode trigger, the input PIN[x] state at level high will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[x] state change from low-to-high will generate the interrupt. Note: For GPIOF_IE, bits [31:22] are reserved. 31 1 read-write 0 PIN[x] level-high or low-to-high interrupt Disabled #0 1 PIN[x] level-high or low-to-high interrupt Enabled #1 RIER2 GPIO Port [x] Pin [n] Interrupt Enable by Input Rising Edge or Input Level High RIER[x] used to enable the interrupt for each of the corresponding input GPIO_PIN[x]. Set bit 1 also enable the pin wake-up function When set the RIER[x] bit 1 : If the interrupt is level mode trigger, the input PIN[x] state at level high will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[x] state change from low-to-high will generate the interrupt. Note: For GPIOF_IE, bits [31:22] are reserved. 18 1 read-write 0 PIN[x] level-high or low-to-high interrupt Disabled #0 1 PIN[x] level-high or low-to-high interrupt Enabled #1 RIER3 GPIO Port [x] Pin [n] Interrupt Enable by Input Rising Edge or Input Level High RIER[x] used to enable the interrupt for each of the corresponding input GPIO_PIN[x]. Set bit 1 also enable the pin wake-up function When set the RIER[x] bit 1 : If the interrupt is level mode trigger, the input PIN[x] state at level high will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[x] state change from low-to-high will generate the interrupt. Note: For GPIOF_IE, bits [31:22] are reserved. 19 1 read-write 0 PIN[x] level-high or low-to-high interrupt Disabled #0 1 PIN[x] level-high or low-to-high interrupt Enabled #1 RIER4 GPIO Port [x] Pin [n] Interrupt Enable by Input Rising Edge or Input Level High RIER[x] used to enable the interrupt for each of the corresponding input GPIO_PIN[x]. Set bit 1 also enable the pin wake-up function When set the RIER[x] bit 1 : If the interrupt is level mode trigger, the input PIN[x] state at level high will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[x] state change from low-to-high will generate the interrupt. Note: For GPIOF_IE, bits [31:22] are reserved. 20 1 read-write 0 PIN[x] level-high or low-to-high interrupt Disabled #0 1 PIN[x] level-high or low-to-high interrupt Enabled #1 RIER5 GPIO Port [x] Pin [n] Interrupt Enable by Input Rising Edge or Input Level High RIER[x] used to enable the interrupt for each of the corresponding input GPIO_PIN[x]. Set bit 1 also enable the pin wake-up function When set the RIER[x] bit 1 : If the interrupt is level mode trigger, the input PIN[x] state at level high will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[x] state change from low-to-high will generate the interrupt. Note: For GPIOF_IE, bits [31:22] are reserved. 21 1 read-write 0 PIN[x] level-high or low-to-high interrupt Disabled #0 1 PIN[x] level-high or low-to-high interrupt Enabled #1 RIER6 GPIO Port [x] Pin [n] Interrupt Enable by Input Rising Edge or Input Level High RIER[x] used to enable the interrupt for each of the corresponding input GPIO_PIN[x]. Set bit 1 also enable the pin wake-up function When set the RIER[x] bit 1 : If the interrupt is level mode trigger, the input PIN[x] state at level high will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[x] state change from low-to-high will generate the interrupt. Note: For GPIOF_IE, bits [31:22] are reserved. 22 1 read-write 0 PIN[x] level-high or low-to-high interrupt Disabled #0 1 PIN[x] level-high or low-to-high interrupt Enabled #1 RIER7 GPIO Port [x] Pin [n] Interrupt Enable by Input Rising Edge or Input Level High RIER[x] used to enable the interrupt for each of the corresponding input GPIO_PIN[x]. Set bit 1 also enable the pin wake-up function When set the RIER[x] bit 1 : If the interrupt is level mode trigger, the input PIN[x] state at level high will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[x] state change from low-to-high will generate the interrupt. Note: For GPIOF_IE, bits [31:22] are reserved. 23 1 read-write 0 PIN[x] level-high or low-to-high interrupt Disabled #0 1 PIN[x] level-high or low-to-high interrupt Enabled #1 RIER8 GPIO Port [x] Pin [n] Interrupt Enable by Input Rising Edge or Input Level High RIER[x] used to enable the interrupt for each of the corresponding input GPIO_PIN[x]. Set bit 1 also enable the pin wake-up function When set the RIER[x] bit 1 : If the interrupt is level mode trigger, the input PIN[x] state at level high will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[x] state change from low-to-high will generate the interrupt. Note: For GPIOF_IE, bits [31:22] are reserved. 24 1 read-write 0 PIN[x] level-high or low-to-high interrupt Disabled #0 1 PIN[x] level-high or low-to-high interrupt Enabled #1 RIER9 GPIO Port [x] Pin [n] Interrupt Enable by Input Rising Edge or Input Level High RIER[x] used to enable the interrupt for each of the corresponding input GPIO_PIN[x]. Set bit 1 also enable the pin wake-up function When set the RIER[x] bit 1 : If the interrupt is level mode trigger, the input PIN[x] state at level high will generate the interrupt. If the interrupt is edge mode trigger, the input PIN[x] state change from low-to-high will generate the interrupt. Note: For GPIOF_IE, bits [31:22] are reserved. 25 1 read-write 0 PIN[x] level-high or low-to-high interrupt Disabled #0 1 PIN[x] level-high or low-to-high interrupt Enabled #1 GPIOA_IMD GPIOA_IMD GPIO Port A Interrupt Mode Control Register 0x18 read-write n 0x0 0x0 IMD0 GPIO Port [x] Pin [n] Edge or Level Detection Interrupt Control\nIMD[n] used to control the interrupt is by level trigger or by edge trigger. If the interrupt is by edge trigger, the trigger source is control de-bounce. If the interrupt is by level trigger, the input source is sampled by one clock and the generate the interrupt \nIf set pin as the level trigger interrupt, then only one level can be set on the registers GPIOX_IER. If set both the level to trigger interrupt, the setting is ignored and no interrupt will occur\nThe de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored.\nNote: For GPIOF_IMD, bits [15:6] are reserved. 0 1 read-write 0 Edge trigger interrupt #0 1 Level trigger interrupt #1 IMD1 GPIO Port [x] Pin [n] Edge or Level Detection Interrupt Control\nIMD[n] used to control the interrupt is by level trigger or by edge trigger. If the interrupt is by edge trigger, the trigger source is control de-bounce. If the interrupt is by level trigger, the input source is sampled by one clock and the generate the interrupt \nIf set pin as the level trigger interrupt, then only one level can be set on the registers GPIOX_IER. If set both the level to trigger interrupt, the setting is ignored and no interrupt will occur\nThe de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored.\nNote: For GPIOF_IMD, bits [15:6] are reserved. 1 1 read-write 0 Edge trigger interrupt #0 1 Level trigger interrupt #1 IMD10 GPIO Port [x] Pin [n] Edge or Level Detection Interrupt Control\nIMD[n] used to control the interrupt is by level trigger or by edge trigger. If the interrupt is by edge trigger, the trigger source is control de-bounce. If the interrupt is by level trigger, the input source is sampled by one clock and the generate the interrupt \nIf set pin as the level trigger interrupt, then only one level can be set on the registers GPIOX_IER. If set both the level to trigger interrupt, the setting is ignored and no interrupt will occur\nThe de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored.\nNote: For GPIOF_IMD, bits [15:6] are reserved. 10 1 read-write 0 Edge trigger interrupt #0 1 Level trigger interrupt #1 IMD11 GPIO Port [x] Pin [n] Edge or Level Detection Interrupt Control\nIMD[n] used to control the interrupt is by level trigger or by edge trigger. If the interrupt is by edge trigger, the trigger source is control de-bounce. If the interrupt is by level trigger, the input source is sampled by one clock and the generate the interrupt \nIf set pin as the level trigger interrupt, then only one level can be set on the registers GPIOX_IER. If set both the level to trigger interrupt, the setting is ignored and no interrupt will occur\nThe de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored.\nNote: For GPIOF_IMD, bits [15:6] are reserved. 11 1 read-write 0 Edge trigger interrupt #0 1 Level trigger interrupt #1 IMD12 GPIO Port [x] Pin [n] Edge or Level Detection Interrupt Control\nIMD[n] used to control the interrupt is by level trigger or by edge trigger. If the interrupt is by edge trigger, the trigger source is control de-bounce. If the interrupt is by level trigger, the input source is sampled by one clock and the generate the interrupt \nIf set pin as the level trigger interrupt, then only one level can be set on the registers GPIOX_IER. If set both the level to trigger interrupt, the setting is ignored and no interrupt will occur\nThe de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored.\nNote: For GPIOF_IMD, bits [15:6] are reserved. 12 1 read-write 0 Edge trigger interrupt #0 1 Level trigger interrupt #1 IMD13 GPIO Port [x] Pin [n] Edge or Level Detection Interrupt Control\nIMD[n] used to control the interrupt is by level trigger or by edge trigger. If the interrupt is by edge trigger, the trigger source is control de-bounce. If the interrupt is by level trigger, the input source is sampled by one clock and the generate the interrupt \nIf set pin as the level trigger interrupt, then only one level can be set on the registers GPIOX_IER. If set both the level to trigger interrupt, the setting is ignored and no interrupt will occur\nThe de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored.\nNote: For GPIOF_IMD, bits [15:6] are reserved. 13 1 read-write 0 Edge trigger interrupt #0 1 Level trigger interrupt #1 IMD14 GPIO Port [x] Pin [n] Edge or Level Detection Interrupt Control\nIMD[n] used to control the interrupt is by level trigger or by edge trigger. If the interrupt is by edge trigger, the trigger source is control de-bounce. If the interrupt is by level trigger, the input source is sampled by one clock and the generate the interrupt \nIf set pin as the level trigger interrupt, then only one level can be set on the registers GPIOX_IER. If set both the level to trigger interrupt, the setting is ignored and no interrupt will occur\nThe de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored.\nNote: For GPIOF_IMD, bits [15:6] are reserved. 14 1 read-write 0 Edge trigger interrupt #0 1 Level trigger interrupt #1 IMD15 GPIO Port [x] Pin [n] Edge or Level Detection Interrupt Control\nIMD[n] used to control the interrupt is by level trigger or by edge trigger. If the interrupt is by edge trigger, the trigger source is control de-bounce. If the interrupt is by level trigger, the input source is sampled by one clock and the generate the interrupt \nIf set pin as the level trigger interrupt, then only one level can be set on the registers GPIOX_IER. If set both the level to trigger interrupt, the setting is ignored and no interrupt will occur\nThe de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored.\nNote: For GPIOF_IMD, bits [15:6] are reserved. 15 1 read-write 0 Edge trigger interrupt #0 1 Level trigger interrupt #1 IMD2 GPIO Port [x] Pin [n] Edge or Level Detection Interrupt Control\nIMD[n] used to control the interrupt is by level trigger or by edge trigger. If the interrupt is by edge trigger, the trigger source is control de-bounce. If the interrupt is by level trigger, the input source is sampled by one clock and the generate the interrupt \nIf set pin as the level trigger interrupt, then only one level can be set on the registers GPIOX_IER. If set both the level to trigger interrupt, the setting is ignored and no interrupt will occur\nThe de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored.\nNote: For GPIOF_IMD, bits [15:6] are reserved. 2 1 read-write 0 Edge trigger interrupt #0 1 Level trigger interrupt #1 IMD3 GPIO Port [x] Pin [n] Edge or Level Detection Interrupt Control\nIMD[n] used to control the interrupt is by level trigger or by edge trigger. If the interrupt is by edge trigger, the trigger source is control de-bounce. If the interrupt is by level trigger, the input source is sampled by one clock and the generate the interrupt \nIf set pin as the level trigger interrupt, then only one level can be set on the registers GPIOX_IER. If set both the level to trigger interrupt, the setting is ignored and no interrupt will occur\nThe de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored.\nNote: For GPIOF_IMD, bits [15:6] are reserved. 3 1 read-write 0 Edge trigger interrupt #0 1 Level trigger interrupt #1 IMD4 GPIO Port [x] Pin [n] Edge or Level Detection Interrupt Control\nIMD[n] used to control the interrupt is by level trigger or by edge trigger. If the interrupt is by edge trigger, the trigger source is control de-bounce. If the interrupt is by level trigger, the input source is sampled by one clock and the generate the interrupt \nIf set pin as the level trigger interrupt, then only one level can be set on the registers GPIOX_IER. If set both the level to trigger interrupt, the setting is ignored and no interrupt will occur\nThe de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored.\nNote: For GPIOF_IMD, bits [15:6] are reserved. 4 1 read-write 0 Edge trigger interrupt #0 1 Level trigger interrupt #1 IMD5 GPIO Port [x] Pin [n] Edge or Level Detection Interrupt Control\nIMD[n] used to control the interrupt is by level trigger or by edge trigger. If the interrupt is by edge trigger, the trigger source is control de-bounce. If the interrupt is by level trigger, the input source is sampled by one clock and the generate the interrupt \nIf set pin as the level trigger interrupt, then only one level can be set on the registers GPIOX_IER. If set both the level to trigger interrupt, the setting is ignored and no interrupt will occur\nThe de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored.\nNote: For GPIOF_IMD, bits [15:6] are reserved. 5 1 read-write 0 Edge trigger interrupt #0 1 Level trigger interrupt #1 IMD6 GPIO Port [x] Pin [n] Edge or Level Detection Interrupt Control\nIMD[n] used to control the interrupt is by level trigger or by edge trigger. If the interrupt is by edge trigger, the trigger source is control de-bounce. If the interrupt is by level trigger, the input source is sampled by one clock and the generate the interrupt \nIf set pin as the level trigger interrupt, then only one level can be set on the registers GPIOX_IER. If set both the level to trigger interrupt, the setting is ignored and no interrupt will occur\nThe de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored.\nNote: For GPIOF_IMD, bits [15:6] are reserved. 6 1 read-write 0 Edge trigger interrupt #0 1 Level trigger interrupt #1 IMD7 GPIO Port [x] Pin [n] Edge or Level Detection Interrupt Control\nIMD[n] used to control the interrupt is by level trigger or by edge trigger. If the interrupt is by edge trigger, the trigger source is control de-bounce. If the interrupt is by level trigger, the input source is sampled by one clock and the generate the interrupt \nIf set pin as the level trigger interrupt, then only one level can be set on the registers GPIOX_IER. If set both the level to trigger interrupt, the setting is ignored and no interrupt will occur\nThe de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored.\nNote: For GPIOF_IMD, bits [15:6] are reserved. 7 1 read-write 0 Edge trigger interrupt #0 1 Level trigger interrupt #1 IMD8 GPIO Port [x] Pin [n] Edge or Level Detection Interrupt Control\nIMD[n] used to control the interrupt is by level trigger or by edge trigger. If the interrupt is by edge trigger, the trigger source is control de-bounce. If the interrupt is by level trigger, the input source is sampled by one clock and the generate the interrupt \nIf set pin as the level trigger interrupt, then only one level can be set on the registers GPIOX_IER. If set both the level to trigger interrupt, the setting is ignored and no interrupt will occur\nThe de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored.\nNote: For GPIOF_IMD, bits [15:6] are reserved. 8 1 read-write 0 Edge trigger interrupt #0 1 Level trigger interrupt #1 IMD9 GPIO Port [x] Pin [n] Edge or Level Detection Interrupt Control\nIMD[n] used to control the interrupt is by level trigger or by edge trigger. If the interrupt is by edge trigger, the trigger source is control de-bounce. If the interrupt is by level trigger, the input source is sampled by one clock and the generate the interrupt \nIf set pin as the level trigger interrupt, then only one level can be set on the registers GPIOX_IER. If set both the level to trigger interrupt, the setting is ignored and no interrupt will occur\nThe de-bounce function is valid for edge triggered interrupt. If the interrupt mode is level triggered, the de-bounce enable bit is ignored.\nNote: For GPIOF_IMD, bits [15:6] are reserved. 9 1 read-write 0 Edge trigger interrupt #0 1 Level trigger interrupt #1 GPIOA_ISRC GPIOA_ISRC GPIO Port A Interrupt Trigger Source Status Register 0x20 read-write n 0x0 0x0 ISRC0 GPIO Port [x] Pin [n] Interrupt Trigger Source Indicator\nRead :\nNote: For GPIOF_ISRC, bits [15:6] are reserved. 0 1 read-write 0 No interrupt at Port x[n]\nNo action #0 1 Port x[n] generate an interrupt\nClear the correspond pending interrupt #1 ISRC1 GPIO Port [x] Pin [n] Interrupt Trigger Source Indicator\nRead :\nNote: For GPIOF_ISRC, bits [15:6] are reserved. 1 1 read-write 0 No interrupt at Port x[n]\nNo action #0 1 Port x[n] generate an interrupt\nClear the correspond pending interrupt #1 ISRC10 GPIO Port [x] Pin [n] Interrupt Trigger Source Indicator\nRead :\nNote: For GPIOF_ISRC, bits [15:6] are reserved. 10 1 read-write 0 No interrupt at Port x[n]\nNo action #0 1 Port x[n] generate an interrupt\nClear the correspond pending interrupt #1 ISRC11 GPIO Port [x] Pin [n] Interrupt Trigger Source Indicator\nRead :\nNote: For GPIOF_ISRC, bits [15:6] are reserved. 11 1 read-write 0 No interrupt at Port x[n]\nNo action #0 1 Port x[n] generate an interrupt\nClear the correspond pending interrupt #1 ISRC12 GPIO Port [x] Pin [n] Interrupt Trigger Source Indicator\nRead :\nNote: For GPIOF_ISRC, bits [15:6] are reserved. 12 1 read-write 0 No interrupt at Port x[n]\nNo action #0 1 Port x[n] generate an interrupt\nClear the correspond pending interrupt #1 ISRC13 GPIO Port [x] Pin [n] Interrupt Trigger Source Indicator\nRead :\nNote: For GPIOF_ISRC, bits [15:6] are reserved. 13 1 read-write 0 No interrupt at Port x[n]\nNo action #0 1 Port x[n] generate an interrupt\nClear the correspond pending interrupt #1 ISRC14 GPIO Port [x] Pin [n] Interrupt Trigger Source Indicator\nRead :\nNote: For GPIOF_ISRC, bits [15:6] are reserved. 14 1 read-write 0 No interrupt at Port x[n]\nNo action #0 1 Port x[n] generate an interrupt\nClear the correspond pending interrupt #1 ISRC15 GPIO Port [x] Pin [n] Interrupt Trigger Source Indicator\nRead :\nNote: For GPIOF_ISRC, bits [15:6] are reserved. 15 1 read-write 0 No interrupt at Port x[n]\nNo action #0 1 Port x[n] generate an interrupt\nClear the correspond pending interrupt #1 ISRC2 GPIO Port [x] Pin [n] Interrupt Trigger Source Indicator\nRead :\nNote: For GPIOF_ISRC, bits [15:6] are reserved. 2 1 read-write 0 No interrupt at Port x[n]\nNo action #0 1 Port x[n] generate an interrupt\nClear the correspond pending interrupt #1 ISRC3 GPIO Port [x] Pin [n] Interrupt Trigger Source Indicator\nRead :\nNote: For GPIOF_ISRC, bits [15:6] are reserved. 3 1 read-write 0 No interrupt at Port x[n]\nNo action #0 1 Port x[n] generate an interrupt\nClear the correspond pending interrupt #1 ISRC4 GPIO Port [x] Pin [n] Interrupt Trigger Source Indicator\nRead :\nNote: For GPIOF_ISRC, bits [15:6] are reserved. 4 1 read-write 0 No interrupt at Port x[n]\nNo action #0 1 Port x[n] generate an interrupt\nClear the correspond pending interrupt #1 ISRC5 GPIO Port [x] Pin [n] Interrupt Trigger Source Indicator\nRead :\nNote: For GPIOF_ISRC, bits [15:6] are reserved. 5 1 read-write 0 No interrupt at Port x[n]\nNo action #0 1 Port x[n] generate an interrupt\nClear the correspond pending interrupt #1 ISRC6 GPIO Port [x] Pin [n] Interrupt Trigger Source Indicator\nRead :\nNote: For GPIOF_ISRC, bits [15:6] are reserved. 6 1 read-write 0 No interrupt at Port x[n]\nNo action #0 1 Port x[n] generate an interrupt\nClear the correspond pending interrupt #1 ISRC7 GPIO Port [x] Pin [n] Interrupt Trigger Source Indicator\nRead :\nNote: For GPIOF_ISRC, bits [15:6] are reserved. 7 1 read-write 0 No interrupt at Port x[n]\nNo action #0 1 Port x[n] generate an interrupt\nClear the correspond pending interrupt #1 ISRC8 GPIO Port [x] Pin [n] Interrupt Trigger Source Indicator\nRead :\nNote: For GPIOF_ISRC, bits [15:6] are reserved. 8 1 read-write 0 No interrupt at Port x[n]\nNo action #0 1 Port x[n] generate an interrupt\nClear the correspond pending interrupt #1 ISRC9 GPIO Port [x] Pin [n] Interrupt Trigger Source Indicator\nRead :\nNote: For GPIOF_ISRC, bits [15:6] are reserved. 9 1 read-write 0 No interrupt at Port x[n]\nNo action #0 1 Port x[n] generate an interrupt\nClear the correspond pending interrupt #1 GPIOA_OFFD GPIOA_OFFD GPIO Port A Pin OFF Digital Enable Register 0x4 read-write n 0x0 0x0 OFFD0 GPIO Port [x] Pin [n] Digital Input Path Disable\nDetermine if the digital input path of GPIO port [x] pin [n] is disabled.\nNote: For GPIOF_OFFD, bits [31:22] are reserved. 16 1 read-write 0 Digital input path of GPIO port [x] pin [n] Enabled #0 1 Digital input path of GPIO port [x] pin [n] Disabled (tied digital input to low) #1 OFFD1 GPIO Port [x] Pin [n] Digital Input Path Disable\nDetermine if the digital input path of GPIO port [x] pin [n] is disabled.\nNote: For GPIOF_OFFD, bits [31:22] are reserved. 17 1 read-write 0 Digital input path of GPIO port [x] pin [n] Enabled #0 1 Digital input path of GPIO port [x] pin [n] Disabled (tied digital input to low) #1 OFFD10 GPIO Port [x] Pin [n] Digital Input Path Disable\nDetermine if the digital input path of GPIO port [x] pin [n] is disabled.\nNote: For GPIOF_OFFD, bits [31:22] are reserved. 26 1 read-write 0 Digital input path of GPIO port [x] pin [n] Enabled #0 1 Digital input path of GPIO port [x] pin [n] Disabled (tied digital input to low) #1 OFFD11 GPIO Port [x] Pin [n] Digital Input Path Disable\nDetermine if the digital input path of GPIO port [x] pin [n] is disabled.\nNote: For GPIOF_OFFD, bits [31:22] are reserved. 27 1 read-write 0 Digital input path of GPIO port [x] pin [n] Enabled #0 1 Digital input path of GPIO port [x] pin [n] Disabled (tied digital input to low) #1 OFFD12 GPIO Port [x] Pin [n] Digital Input Path Disable\nDetermine if the digital input path of GPIO port [x] pin [n] is disabled.\nNote: For GPIOF_OFFD, bits [31:22] are reserved. 28 1 read-write 0 Digital input path of GPIO port [x] pin [n] Enabled #0 1 Digital input path of GPIO port [x] pin [n] Disabled (tied digital input to low) #1 OFFD13 GPIO Port [x] Pin [n] Digital Input Path Disable\nDetermine if the digital input path of GPIO port [x] pin [n] is disabled.\nNote: For GPIOF_OFFD, bits [31:22] are reserved. 29 1 read-write 0 Digital input path of GPIO port [x] pin [n] Enabled #0 1 Digital input path of GPIO port [x] pin [n] Disabled (tied digital input to low) #1 OFFD14 GPIO Port [x] Pin [n] Digital Input Path Disable\nDetermine if the digital input path of GPIO port [x] pin [n] is disabled.\nNote: For GPIOF_OFFD, bits [31:22] are reserved. 30 1 read-write 0 Digital input path of GPIO port [x] pin [n] Enabled #0 1 Digital input path of GPIO port [x] pin [n] Disabled (tied digital input to low) #1 OFFD15 GPIO Port [x] Pin [n] Digital Input Path Disable\nDetermine if the digital input path of GPIO port [x] pin [n] is disabled.\nNote: For GPIOF_OFFD, bits [31:22] are reserved. 31 1 read-write 0 Digital input path of GPIO port [x] pin [n] Enabled #0 1 Digital input path of GPIO port [x] pin [n] Disabled (tied digital input to low) #1 OFFD2 GPIO Port [x] Pin [n] Digital Input Path Disable\nDetermine if the digital input path of GPIO port [x] pin [n] is disabled.\nNote: For GPIOF_OFFD, bits [31:22] are reserved. 18 1 read-write 0 Digital input path of GPIO port [x] pin [n] Enabled #0 1 Digital input path of GPIO port [x] pin [n] Disabled (tied digital input to low) #1 OFFD3 GPIO Port [x] Pin [n] Digital Input Path Disable\nDetermine if the digital input path of GPIO port [x] pin [n] is disabled.\nNote: For GPIOF_OFFD, bits [31:22] are reserved. 19 1 read-write 0 Digital input path of GPIO port [x] pin [n] Enabled #0 1 Digital input path of GPIO port [x] pin [n] Disabled (tied digital input to low) #1 OFFD4 GPIO Port [x] Pin [n] Digital Input Path Disable\nDetermine if the digital input path of GPIO port [x] pin [n] is disabled.\nNote: For GPIOF_OFFD, bits [31:22] are reserved. 20 1 read-write 0 Digital input path of GPIO port [x] pin [n] Enabled #0 1 Digital input path of GPIO port [x] pin [n] Disabled (tied digital input to low) #1 OFFD5 GPIO Port [x] Pin [n] Digital Input Path Disable\nDetermine if the digital input path of GPIO port [x] pin [n] is disabled.\nNote: For GPIOF_OFFD, bits [31:22] are reserved. 21 1 read-write 0 Digital input path of GPIO port [x] pin [n] Enabled #0 1 Digital input path of GPIO port [x] pin [n] Disabled (tied digital input to low) #1 OFFD6 GPIO Port [x] Pin [n] Digital Input Path Disable\nDetermine if the digital input path of GPIO port [x] pin [n] is disabled.\nNote: For GPIOF_OFFD, bits [31:22] are reserved. 22 1 read-write 0 Digital input path of GPIO port [x] pin [n] Enabled #0 1 Digital input path of GPIO port [x] pin [n] Disabled (tied digital input to low) #1 OFFD7 GPIO Port [x] Pin [n] Digital Input Path Disable\nDetermine if the digital input path of GPIO port [x] pin [n] is disabled.\nNote: For GPIOF_OFFD, bits [31:22] are reserved. 23 1 read-write 0 Digital input path of GPIO port [x] pin [n] Enabled #0 1 Digital input path of GPIO port [x] pin [n] Disabled (tied digital input to low) #1 OFFD8 GPIO Port [x] Pin [n] Digital Input Path Disable\nDetermine if the digital input path of GPIO port [x] pin [n] is disabled.\nNote: For GPIOF_OFFD, bits [31:22] are reserved. 24 1 read-write 0 Digital input path of GPIO port [x] pin [n] Enabled #0 1 Digital input path of GPIO port [x] pin [n] Disabled (tied digital input to low) #1 OFFD9 GPIO Port [x] Pin [n] Digital Input Path Disable\nDetermine if the digital input path of GPIO port [x] pin [n] is disabled.\nNote: For GPIOF_OFFD, bits [31:22] are reserved. 25 1 read-write 0 Digital input path of GPIO port [x] pin [n] Enabled #0 1 Digital input path of GPIO port [x] pin [n] Disabled (tied digital input to low) #1 GPIOA_PIN GPIOA_PIN GPIO Port A Pin Value Register 0x10 read-only n 0x0 0x0 PIN0 GPIO Port [x] Pin [n] Value\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin\nNote: For GPIOF_PIN, bits [15:6] are reserved. 0 1 read-only PIN1 GPIO Port [x] Pin [n] Value\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin\nNote: For GPIOF_PIN, bits [15:6] are reserved. 1 1 read-only PIN10 GPIO Port [x] Pin [n] Value\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin\nNote: For GPIOF_PIN, bits [15:6] are reserved. 10 1 read-only PIN11 GPIO Port [x] Pin [n] Value\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin\nNote: For GPIOF_PIN, bits [15:6] are reserved. 11 1 read-only PIN12 GPIO Port [x] Pin [n] Value\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin\nNote: For GPIOF_PIN, bits [15:6] are reserved. 12 1 read-only PIN13 GPIO Port [x] Pin [n] Value\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin\nNote: For GPIOF_PIN, bits [15:6] are reserved. 13 1 read-only PIN14 GPIO Port [x] Pin [n] Value\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin\nNote: For GPIOF_PIN, bits [15:6] are reserved. 14 1 read-only PIN15 GPIO Port [x] Pin [n] Value\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin\nNote: For GPIOF_PIN, bits [15:6] are reserved. 15 1 read-only PIN2 GPIO Port [x] Pin [n] Value\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin\nNote: For GPIOF_PIN, bits [15:6] are reserved. 2 1 read-only PIN3 GPIO Port [x] Pin [n] Value\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin\nNote: For GPIOF_PIN, bits [15:6] are reserved. 3 1 read-only PIN4 GPIO Port [x] Pin [n] Value\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin\nNote: For GPIOF_PIN, bits [15:6] are reserved. 4 1 read-only PIN5 GPIO Port [x] Pin [n] Value\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin\nNote: For GPIOF_PIN, bits [15:6] are reserved. 5 1 read-only PIN6 GPIO Port [x] Pin [n] Value\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin\nNote: For GPIOF_PIN, bits [15:6] are reserved. 6 1 read-only PIN7 GPIO Port [x] Pin [n] Value\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin\nNote: For GPIOF_PIN, bits [15:6] are reserved. 7 1 read-only PIN8 GPIO Port [x] Pin [n] Value\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin\nNote: For GPIOF_PIN, bits [15:6] are reserved. 8 1 read-only PIN9 GPIO Port [x] Pin [n] Value\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin\nNote: For GPIOF_PIN, bits [15:6] are reserved. 9 1 read-only GPIOA_PMD GPIOA_PMD GPIO Port A Pin I/O Mode Control Register 0x0 read-write n 0x0 0x0 PMD0 GPIO Port [x] Pin [n] Mode Control\nDetermine the I/O type of GPIO port [x] pin [n]\nNote: For GPIOF_PMD, PMD6 ~ PMD15 are reserved. 0 2 read-write 0 GPIO port [x] pin [n] is in INPUT mode #00 1 GPIO port [x] pin [n] is in OUTPUT mode #01 2 GPIO port [x] pin [n] is in Open-Drain mode #10 3 Reserved #11 PMD1 GPIO Port [x] Pin [n] Mode Control\nDetermine the I/O type of GPIO port [x] pin [n]\nNote: For GPIOF_PMD, PMD6 ~ PMD15 are reserved. 2 2 read-write 0 GPIO port [x] pin [n] is in INPUT mode #00 1 GPIO port [x] pin [n] is in OUTPUT mode #01 2 GPIO port [x] pin [n] is in Open-Drain mode #10 3 Reserved #11 PMD10 GPIO Port [x] Pin [n] Mode Control\nDetermine the I/O type of GPIO port [x] pin [n]\nNote: For GPIOF_PMD, PMD6 ~ PMD15 are reserved. 20 2 read-write 0 GPIO port [x] pin [n] is in INPUT mode #00 1 GPIO port [x] pin [n] is in OUTPUT mode #01 2 GPIO port [x] pin [n] is in Open-Drain mode #10 3 Reserved #11 PMD11 GPIO Port [x] Pin [n] Mode Control\nDetermine the I/O type of GPIO port [x] pin [n]\nNote: For GPIOF_PMD, PMD6 ~ PMD15 are reserved. 22 2 read-write 0 GPIO port [x] pin [n] is in INPUT mode #00 1 GPIO port [x] pin [n] is in OUTPUT mode #01 2 GPIO port [x] pin [n] is in Open-Drain mode #10 3 Reserved #11 PMD12 GPIO Port [x] Pin [n] Mode Control\nDetermine the I/O type of GPIO port [x] pin [n]\nNote: For GPIOF_PMD, PMD6 ~ PMD15 are reserved. 24 2 read-write 0 GPIO port [x] pin [n] is in INPUT mode #00 1 GPIO port [x] pin [n] is in OUTPUT mode #01 2 GPIO port [x] pin [n] is in Open-Drain mode #10 3 Reserved #11 PMD13 GPIO Port [x] Pin [n] Mode Control\nDetermine the I/O type of GPIO port [x] pin [n]\nNote: For GPIOF_PMD, PMD6 ~ PMD15 are reserved. 26 2 read-write 0 GPIO port [x] pin [n] is in INPUT mode #00 1 GPIO port [x] pin [n] is in OUTPUT mode #01 2 GPIO port [x] pin [n] is in Open-Drain mode #10 3 Reserved #11 PMD14 GPIO Port [x] Pin [n] Mode Control\nDetermine the I/O type of GPIO port [x] pin [n]\nNote: For GPIOF_PMD, PMD6 ~ PMD15 are reserved. 28 2 read-write 0 GPIO port [x] pin [n] is in INPUT mode #00 1 GPIO port [x] pin [n] is in OUTPUT mode #01 2 GPIO port [x] pin [n] is in Open-Drain mode #10 3 Reserved #11 PMD15 GPIO Port [x] Pin [n] Mode Control\nDetermine the I/O type of GPIO port [x] pin [n]\nNote: For GPIOF_PMD, PMD6 ~ PMD15 are reserved. 30 2 read-write 0 GPIO port [x] pin [n] is in INPUT mode #00 1 GPIO port [x] pin [n] is in OUTPUT mode #01 2 GPIO port [x] pin [n] is in Open-Drain mode #10 3 Reserved #11 PMD2 GPIO Port [x] Pin [n] Mode Control\nDetermine the I/O type of GPIO port [x] pin [n]\nNote: For GPIOF_PMD, PMD6 ~ PMD15 are reserved. 4 2 read-write 0 GPIO port [x] pin [n] is in INPUT mode #00 1 GPIO port [x] pin [n] is in OUTPUT mode #01 2 GPIO port [x] pin [n] is in Open-Drain mode #10 3 Reserved #11 PMD3 GPIO Port [x] Pin [n] Mode Control\nDetermine the I/O type of GPIO port [x] pin [n]\nNote: For GPIOF_PMD, PMD6 ~ PMD15 are reserved. 6 2 read-write 0 GPIO port [x] pin [n] is in INPUT mode #00 1 GPIO port [x] pin [n] is in OUTPUT mode #01 2 GPIO port [x] pin [n] is in Open-Drain mode #10 3 Reserved #11 PMD4 GPIO Port [x] Pin [n] Mode Control\nDetermine the I/O type of GPIO port [x] pin [n]\nNote: For GPIOF_PMD, PMD6 ~ PMD15 are reserved. 8 2 read-write 0 GPIO port [x] pin [n] is in INPUT mode #00 1 GPIO port [x] pin [n] is in OUTPUT mode #01 2 GPIO port [x] pin [n] is in Open-Drain mode #10 3 Reserved #11 PMD5 GPIO Port [x] Pin [n] Mode Control\nDetermine the I/O type of GPIO port [x] pin [n]\nNote: For GPIOF_PMD, PMD6 ~ PMD15 are reserved. 10 2 read-write 0 GPIO port [x] pin [n] is in INPUT mode #00 1 GPIO port [x] pin [n] is in OUTPUT mode #01 2 GPIO port [x] pin [n] is in Open-Drain mode #10 3 Reserved #11 PMD6 GPIO Port [x] Pin [n] Mode Control\nDetermine the I/O type of GPIO port [x] pin [n]\nNote: For GPIOF_PMD, PMD6 ~ PMD15 are reserved. 12 2 read-write 0 GPIO port [x] pin [n] is in INPUT mode #00 1 GPIO port [x] pin [n] is in OUTPUT mode #01 2 GPIO port [x] pin [n] is in Open-Drain mode #10 3 Reserved #11 PMD7 GPIO Port [x] Pin [n] Mode Control\nDetermine the I/O type of GPIO port [x] pin [n]\nNote: For GPIOF_PMD, PMD6 ~ PMD15 are reserved. 14 2 read-write 0 GPIO port [x] pin [n] is in INPUT mode #00 1 GPIO port [x] pin [n] is in OUTPUT mode #01 2 GPIO port [x] pin [n] is in Open-Drain mode #10 3 Reserved #11 PMD8 GPIO Port [x] Pin [n] Mode Control\nDetermine the I/O type of GPIO port [x] pin [n]\nNote: For GPIOF_PMD, PMD6 ~ PMD15 are reserved. 16 2 read-write 0 GPIO port [x] pin [n] is in INPUT mode #00 1 GPIO port [x] pin [n] is in OUTPUT mode #01 2 GPIO port [x] pin [n] is in Open-Drain mode #10 3 Reserved #11 PMD9 GPIO Port [x] Pin [n] Mode Control\nDetermine the I/O type of GPIO port [x] pin [n]\nNote: For GPIOF_PMD, PMD6 ~ PMD15 are reserved. 18 2 read-write 0 GPIO port [x] pin [n] is in INPUT mode #00 1 GPIO port [x] pin [n] is in OUTPUT mode #01 2 GPIO port [x] pin [n] is in Open-Drain mode #10 3 Reserved #11 GPIOA_PUEN GPIOA_PUEN GPIO Port A Pull-Up Enable Register 0x24 read-write n 0x0 0x0 PUEN0 GPIO Port [x] Pin [n] Pull-Up Enable Register\nRead :\n\nNote: For GPIOF_PUEN, bits [15:6] are reserved. 0 1 read-write 0 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Disabled #0 1 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Enabled #1 PUEN1 GPIO Port [x] Pin [n] Pull-Up Enable Register\nRead :\n\nNote: For GPIOF_PUEN, bits [15:6] are reserved. 1 1 read-write 0 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Disabled #0 1 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Enabled #1 PUEN10 GPIO Port [x] Pin [n] Pull-Up Enable Register\nRead :\n\nNote: For GPIOF_PUEN, bits [15:6] are reserved. 10 1 read-write 0 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Disabled #0 1 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Enabled #1 PUEN11 GPIO Port [x] Pin [n] Pull-Up Enable Register\nRead :\n\nNote: For GPIOF_PUEN, bits [15:6] are reserved. 11 1 read-write 0 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Disabled #0 1 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Enabled #1 PUEN12 GPIO Port [x] Pin [n] Pull-Up Enable Register\nRead :\n\nNote: For GPIOF_PUEN, bits [15:6] are reserved. 12 1 read-write 0 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Disabled #0 1 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Enabled #1 PUEN13 GPIO Port [x] Pin [n] Pull-Up Enable Register\nRead :\n\nNote: For GPIOF_PUEN, bits [15:6] are reserved. 13 1 read-write 0 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Disabled #0 1 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Enabled #1 PUEN14 GPIO Port [x] Pin [n] Pull-Up Enable Register\nRead :\n\nNote: For GPIOF_PUEN, bits [15:6] are reserved. 14 1 read-write 0 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Disabled #0 1 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Enabled #1 PUEN15 GPIO Port [x] Pin [n] Pull-Up Enable Register\nRead :\n\nNote: For GPIOF_PUEN, bits [15:6] are reserved. 15 1 read-write 0 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Disabled #0 1 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Enabled #1 PUEN2 GPIO Port [x] Pin [n] Pull-Up Enable Register\nRead :\n\nNote: For GPIOF_PUEN, bits [15:6] are reserved. 2 1 read-write 0 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Disabled #0 1 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Enabled #1 PUEN3 GPIO Port [x] Pin [n] Pull-Up Enable Register\nRead :\n\nNote: For GPIOF_PUEN, bits [15:6] are reserved. 3 1 read-write 0 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Disabled #0 1 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Enabled #1 PUEN4 GPIO Port [x] Pin [n] Pull-Up Enable Register\nRead :\n\nNote: For GPIOF_PUEN, bits [15:6] are reserved. 4 1 read-write 0 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Disabled #0 1 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Enabled #1 PUEN5 GPIO Port [x] Pin [n] Pull-Up Enable Register\nRead :\n\nNote: For GPIOF_PUEN, bits [15:6] are reserved. 5 1 read-write 0 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Disabled #0 1 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Enabled #1 PUEN6 GPIO Port [x] Pin [n] Pull-Up Enable Register\nRead :\n\nNote: For GPIOF_PUEN, bits [15:6] are reserved. 6 1 read-write 0 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Disabled #0 1 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Enabled #1 PUEN7 GPIO Port [x] Pin [n] Pull-Up Enable Register\nRead :\n\nNote: For GPIOF_PUEN, bits [15:6] are reserved. 7 1 read-write 0 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Disabled #0 1 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Enabled #1 PUEN8 GPIO Port [x] Pin [n] Pull-Up Enable Register\nRead :\n\nNote: For GPIOF_PUEN, bits [15:6] are reserved. 8 1 read-write 0 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Disabled #0 1 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Enabled #1 PUEN9 GPIO Port [x] Pin [n] Pull-Up Enable Register\nRead :\n\nNote: For GPIOF_PUEN, bits [15:6] are reserved. 9 1 read-write 0 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Disabled #0 1 GPIO port [A/B/C/D/E/F] bit [n] pull-up resistor Enabled #1 GPIOB0 GPIOB0 GPIO Port B Bit 0 Data Register 0x240 read-write n 0x0 0x0 GPIOB1 GPIOB1 GPIO Port B Bit 1 Data Register 0x244 read-write n 0x0 0x0 GPIOB10 GPIOB10 GPIO Port B Bit 10 Data Register 0x268 read-write n 0x0 0x0 GPIOB11 GPIOB11 GPIO Port B Bit 11 Data Register 0x26C read-write n 0x0 0x0 GPIOB12 GPIOB12 GPIO Port B Bit 12 Data Register 0x270 read-write n 0x0 0x0 GPIOB13 GPIOB13 GPIO Port B Bit 13 Data Register 0x274 read-write n 0x0 0x0 GPIOB14 GPIOB14 GPIO Port B Bit 14 Data Register 0x278 read-write n 0x0 0x0 GPIOB15 GPIOB15 GPIO Port B Bit 15 Data Register 0x27C read-write n 0x0 0x0 GPIOB2 GPIOB2 GPIO Port B Bit 2 Data Register 0x248 read-write n 0x0 0x0 GPIOB3 GPIOB3 GPIO Port B Bit 3 Data Register 0x24C read-write n 0x0 0x0 GPIOB4 GPIOB4 GPIO Port B Bit 4 Data Register 0x250 read-write n 0x0 0x0 GPIOB5 GPIOB5 GPIO Port B Bit 5 Data Register 0x254 read-write n 0x0 0x0 GPIOB6 GPIOB6 GPIO Port B Bit 6 Data Register 0x258 read-write n 0x0 0x0 GPIOB7 GPIOB7 GPIO Port B Bit 7 Data Register 0x25C read-write n 0x0 0x0 GPIOB8 GPIOB8 GPIO Port B Bit 8 Data Register 0x260 read-write n 0x0 0x0 GPIOB9 GPIOB9 GPIO Port B Bit 9 Data Register 0x264 read-write n 0x0 0x0 GPIOB_DBEN GPIOB_DBEN GPIO Port B De-bounce Enable Register 0x54 read-write n 0x0 0x0 GPIOB_DMASK GPIOB_DMASK GPIO Port B Data Output Write Mask Register 0x4C read-write n 0x0 0x0 GPIOB_DOUT GPIOB_DOUT GPIO Port B Data Output Value Register 0x48 read-write n 0x0 0x0 GPIOB_IER GPIOB_IER GPIO Port B Interrupt Enable Register 0x5C read-write n 0x0 0x0 GPIOB_IMD GPIOB_IMD GPIO Port B Interrupt Mode Control Register 0x58 read-write n 0x0 0x0 GPIOB_ISRC GPIOB_ISRC GPIO Port B Interrupt Trigger Source Status Register 0x60 read-write n 0x0 0x0 GPIOB_OFFD GPIOB_OFFD GPIO Port B Pin OFF Digital Enable Register 0x44 read-write n 0x0 0x0 GPIOB_PIN GPIOB_PIN GPIO Port B Pin Value Register 0x50 read-write n 0x0 0x0 GPIOB_PMD GPIOB_PMD GPIO Port B Pin I/O Mode Control Register 0x40 read-write n 0x0 0x0 GPIOB_PUEN GPIOB_PUEN GPIO Port B Pull-Up Enable Register 0x64 read-write n 0x0 0x0 GPIOC0 GPIOC0 GPIO Port C Bit 0 Data Register 0x280 read-write n 0x0 0x0 GPIOC1 GPIOC1 GPIO Port C Bit 1 Data Register 0x284 read-write n 0x0 0x0 GPIOC10 GPIOC10 GPIO Port C Bit 10 Data Register 0x2A8 read-write n 0x0 0x0 GPIOC11 GPIOC11 GPIO Port C Bit 11 Data Register 0x2AC read-write n 0x0 0x0 GPIOC12 GPIOC12 GPIO Port C Bit 12 Data Register 0x2B0 read-write n 0x0 0x0 GPIOC13 GPIOC13 GPIO Port C Bit 13 Data Register 0x2B4 read-write n 0x0 0x0 GPIOC14 GPIOC14 GPIO Port C Bit 14 Data Register 0x2B8 read-write n 0x0 0x0 GPIOC15 GPIOC15 GPIO Port C Bit 15 Data Register 0x2BC read-write n 0x0 0x0 GPIOC2 GPIOC2 GPIO Port C Bit 2 Data Register 0x288 read-write n 0x0 0x0 GPIOC3 GPIOC3 GPIO Port C Bit 3 Data Register 0x28C read-write n 0x0 0x0 GPIOC4 GPIOC4 GPIO Port C Bit 4 Data Register 0x290 read-write n 0x0 0x0 GPIOC5 GPIOC5 GPIO Port C Bit 5 Data Register 0x294 read-write n 0x0 0x0 GPIOC6 GPIOC6 GPIO Port C Bit 6 Data Register 0x298 read-write n 0x0 0x0 GPIOC7 GPIOC7 GPIO Port C Bit 7 Data Register 0x29C read-write n 0x0 0x0 GPIOC8 GPIOC8 GPIO Port C Bit 8 Data Register 0x2A0 read-write n 0x0 0x0 GPIOC9 GPIOC9 GPIO Port C Bit 9 Data Register 0x2A4 read-write n 0x0 0x0 GPIOC_DBEN GPIOC_DBEN GPIO Port C De-bounce Enable Register 0x94 read-write n 0x0 0x0 GPIOC_DMASK GPIOC_DMASK GPIO Port C Data Output Write Mask Register 0x8C read-write n 0x0 0x0 GPIOC_DOUT GPIOC_DOUT GPIO Port C Data Output Value Register 0x88 read-write n 0x0 0x0 GPIOC_IER GPIOC_IER GPIO Port C Interrupt Enable Register 0x9C read-write n 0x0 0x0 GPIOC_IMD GPIOC_IMD GPIO Port C Interrupt Mode Control Register 0x98 read-write n 0x0 0x0 GPIOC_ISRC GPIOC_ISRC GPIO Port C Interrupt Trigger Source Status Register 0xA0 read-write n 0x0 0x0 GPIOC_OFFD GPIOC_OFFD GPIO Port C Pin OFF Digital Enable Register 0x84 read-write n 0x0 0x0 GPIOC_PIN GPIOC_PIN GPIO Port C Pin Value Register 0x90 read-write n 0x0 0x0 GPIOC_PMD GPIOC_PMD GPIO Port C Pin I/O Mode Control Register 0x80 read-write n 0x0 0x0 GPIOC_PUEN GPIOC_PUEN GPIO Port C Pull-Up Enable Register 0xA4 read-write n 0x0 0x0 GPIOD0 GPIOD0 GPIO Port D Bit 0 Data Register 0x2C0 read-write n 0x0 0x0 GPIOD1 GPIOD1 GPIO Port D Bit 1 Data Register 0x2C4 read-write n 0x0 0x0 GPIOD10 GPIOD10 GPIO Port D Bit 10 Data Register 0x2E8 read-write n 0x0 0x0 GPIOD11 GPIOD11 GPIO Port D Bit 11 Data Register 0x2EC read-write n 0x0 0x0 GPIOD12 GPIOD12 GPIO Port D Bit 12 Data Register 0x2F0 read-write n 0x0 0x0 GPIOD13 GPIOD13 GPIO Port D Bit 13 Data Register 0x2F4 read-write n 0x0 0x0 GPIOD14 GPIOD14 GPIO Port D Bit 14 Data Register 0x2F8 read-write n 0x0 0x0 GPIOD15 GPIOD15 GPIO Port D Bit 15 Data Register 0x2FC read-write n 0x0 0x0 GPIOD2 GPIOD2 GPIO Port D Bit 2 Data Register 0x2C8 read-write n 0x0 0x0 GPIOD3 GPIOD3 GPIO Port D Bit 3 Data Register 0x2CC read-write n 0x0 0x0 GPIOD4 GPIOD4 GPIO Port A Bit 4 Data Register 0x2D0 read-write n 0x0 0x0 GPIOD5 GPIOD5 GPIO Port D Bit 5 Data Register 0x2D4 read-write n 0x0 0x0 GPIOD6 GPIOD6 GPIO Port D Bit 6 Data Register 0x2D8 read-write n 0x0 0x0 GPIOD7 GPIOD7 GPIO Port D Bit 7 Data Register 0x2DC read-write n 0x0 0x0 GPIOD8 GPIOD8 GPIO Port D Bit 8 Data Register 0x2E0 read-write n 0x0 0x0 GPIOD9 GPIOD9 GPIO Port D Bit 9 Data Register 0x2E4 read-write n 0x0 0x0 GPIOD_DBEN GPIOD_DBEN GPIO Port D De-bounce Enable Register 0xD4 read-write n 0x0 0x0 GPIOD_DMASK GPIOD_DMASK GPIO Port D Data Output Write Mask Register 0xCC read-write n 0x0 0x0 GPIOD_DOUT GPIOD_DOUT GPIO Port D Data Output Value Register 0xC8 read-write n 0x0 0x0 GPIOD_IER GPIOD_IER GPIO Port D Interrupt Enable Register 0xDC read-write n 0x0 0x0 GPIOD_IMD GPIOD_IMD GPIO Port D Interrupt Mode Control Register 0xD8 read-write n 0x0 0x0 GPIOD_ISRC GPIOD_ISRC GPIO Port D Interrupt Trigger Source Status Register 0xE0 read-write n 0x0 0x0 GPIOD_OFFD GPIOD_OFFD GPIO Port D Pin OFF Digital Enable Register 0xC4 read-write n 0x0 0x0 GPIOD_PIN GPIOD_PIN GPIO Port D Pin Value Register 0xD0 read-write n 0x0 0x0 GPIOD_PMD GPIOD_PMD GPIO Port D Pin I/O Mode Control Register 0xC0 read-write n 0x0 0x0 GPIOD_PUEN GPIOD_PUEN GPIO Port D Pull-Up Enable Register 0xE4 read-write n 0x0 0x0 GPIOE0 GPIOE0 GPIO Port E Bit 0 Data Register 0x300 read-write n 0x0 0x0 GPIOE1 GPIOE1 GPIO Port E Bit 1 Data Register 0x304 read-write n 0x0 0x0 GPIOE10 GPIOE10 GPIO Port E Bit 10 Data Register 0x328 read-write n 0x0 0x0 GPIOE11 GPIOE11 GPIO Port E Bit 11 Data Register 0x32C read-write n 0x0 0x0 GPIOE12 GPIOE12 GPIO Port E Bit 12 Data Register 0x330 read-write n 0x0 0x0 GPIOE13 GPIOE13 GPIO Port E Bit 13 Data Register 0x334 read-write n 0x0 0x0 GPIOE14 GPIOE14 GPIO Port E Bit 14 Data Register 0x338 read-write n 0x0 0x0 GPIOE15 GPIOE15 GPIO Port E Bit 15 Data Register 0x33C read-write n 0x0 0x0 GPIOE2 GPIOE2 GPIO Port E Bit 2 Data Register 0x308 read-write n 0x0 0x0 GPIOE3 GPIOE3 GPIO Port E Bit 3 Data Register 0x30C read-write n 0x0 0x0 GPIOE4 GPIOE4 GPIO Port E Bit 4 Data Register 0x310 read-write n 0x0 0x0 GPIOE5 GPIOE5 GPIO Port E Bit 5 Data Register 0x314 read-write n 0x0 0x0 GPIOE6 GPIOE6 GPIO Port E Bit 6 Data Register 0x318 read-write n 0x0 0x0 GPIOE7 GPIOE7 GPIO Port E Bit 7 Data Register 0x31C read-write n 0x0 0x0 GPIOE8 GPIOE8 GPIO Port E Bit 8 Data Register 0x320 read-write n 0x0 0x0 GPIOE9 GPIOE9 GPIO Port E Bit 9 Data Register 0x324 read-write n 0x0 0x0 GPIOE_DBEN GPIOE_DBEN GPIO Port E De-bounce Enable Register 0x114 read-write n 0x0 0x0 GPIOE_DMASK GPIOE_DMASK GPIO Port E Data Output Write Mask Register 0x10C read-write n 0x0 0x0 GPIOE_DOUT GPIOE_DOUT GPIO Port E Data Output Value Register 0x108 read-write n 0x0 0x0 GPIOE_IER GPIOE_IER GPIO Port E Interrupt Enable Register 0x11C read-write n 0x0 0x0 GPIOE_IMD GPIOE_IMD GPIO Port E Interrupt Mode Control Register 0x118 read-write n 0x0 0x0 GPIOE_ISRC GPIOE_ISRC GPIO Port E Interrupt Trigger Source Status Register 0x120 read-write n 0x0 0x0 GPIOE_OFFD GPIOE_OFFD GPIO Port E Pin OFF Digital Enable Register 0x104 read-write n 0x0 0x0 GPIOE_PIN GPIOE_PIN GPIO Port E Pin Value Register 0x110 read-write n 0x0 0x0 GPIOE_PMD GPIOE_PMD GPIO Port E Pin I/O Mode Control Register 0x100 read-write n 0x0 0x0 GPIOE_PUEN GPIOE_PUEN GPIO Port E Pull-Up Enable Register 0x124 read-write n 0x0 0x0 GPIOF0 GPIOF0 GPIO Port F Bit 0 Data Register 0x340 read-write n 0x0 0x0 GPIOF1 GPIOF1 GPIO Port F Bit 1 Data Register 0x344 read-write n 0x0 0x0 GPIOF2 GPIOF2 GPIO Port F Bit 2 Data Register 0x348 read-write n 0x0 0x0 GPIOF3 GPIOF3 GPIO Port A Bit 3 Data Register 0x34C read-write n 0x0 0x0 GPIOF4 GPIOF4 GPIO Port F Bit 4 Data Register 0x350 read-write n 0x0 0x0 GPIOF5 GPIOF5 GPIO Port F Bit 5 Data Register 0x354 read-write n 0x0 0x0 GPIOF_DBEN GPIOF_DBEN GPIO Port F De-bounce Enable Register 0x154 read-write n 0x0 0x0 GPIOF_DMASK GPIOF_DMASK GPIO Port F Data Output Write Mask Register 0x14C read-write n 0x0 0x0 GPIOF_DOUT GPIOF_DOUT GPIO Port F Data Output Value Register 0x148 read-write n 0x0 0x0 GPIOF_IER GPIOF_IER GPIO Port F Interrupt Enable Register 0x15C read-write n 0x0 0x0 GPIOF_IMD GPIOF_IMD GPIO Port F Interrupt Mode Control Register 0x158 read-write n 0x0 0x0 GPIOF_ISRC GPIOF_ISRC GPIO Port F Interrupt Trigger Source Status Register 0x160 read-write n 0x0 0x0 GPIOF_OFFD GPIOF_OFFD GPIO Port F Pin OFF Digital Enable Register 0x144 read-write n 0x0 0x0 GPIOF_PIN GPIOF_PIN GPIO Port F Pin Value Register 0x150 read-write n 0x0 0x0 GPIOF_PMD GPIOF_PMD GPIO Port F Pin I/O Mode Control Register 0x140 read-write n 0x0 0x0 GPIOF_PUEN GPIOF_PUEN GPIO Port F Pull-Up Enable Register 0x164 read-write n 0x0 0x0 I2C0 I2C Register Map I2C 0x0 0x0 0x20 registers n 0x28 0x8 registers n 0x3C 0x8 registers n I2CCON I2CCON I2C Control Register 0x0 read-write n 0x0 0x0 ACK Assert Acknowledge Control Bit 1 1 read-write 0 : When this bit is set to 0 prior to address or data received, a Not acknowledged (high level to SDA) will be returned during the acknowledge clock pulse #0 1 When this bit is set to 1 prior to address or data received, an acknowledged will be returned during the acknowledge clock pulse on the SCL line when #1 I2C_STS I2C Status. \nWhen a new state is present in the I2CSTATUS register, this bit will be set automatically, and if the INTEN bit is set, the I2C interrupt is requested. It must be cleared by software by writing one to this bit and the I2C protocol function will go ahead until the STOP is active or the IPEN is disabled 4 1 read-write 0 I2C's Status disabled and the I2C protocol function will go ahead #0 1 I2C's Status active #1 INTEN Interrupt Enable. 7 1 read-write 0 I2C interrupt Disabled #0 1 I2C interrupt Enabled #1 IPEN I2C Function Enable\nWhen this bit is set to 1, the I2C serial function is enabled. 0 1 read-write 0 I2C function Disabled #0 1 I2C function Enabled #1 START I2C START Command\nSetting this bit to 1 to enter Master mode, the device sends a START or repeat START condition to bus when the bus is free and it will be cleared to 0 after the START command is active and the STATUS has been updated. 3 1 read-write 0 After START or repeat START is active #0 1 Sends a START or repeat START condition to bus #1 STOP I2C STOP Control Bit. In Master mode, set this bit to 1 to transmit a STOP condition to bus then the controller will check the bus condition if a STOP condition is detected and this bit will be cleared by hardware automatically. In Slave mode, set this bit to 1 to reset the controller to the defined not addressed Slave mode. This means it is NO LONGER in the slave receiver mode to receive data from the master transmit device. 2 1 read-write 0 Will be cleared by hardware automatically if a STOP condition is detected #0 1 Sends a STOP condition to bus in Master mode or reset the controller to not addressed in Slave mode #1 I2CDATA I2CDATA I2C DATA Register 0x14 read-write n 0x0 0x0 DATA I2C Data Register The DATA contains a byte of serial data to be transmitted or a byte which has just been received. The user can read from or write to this 8-bit I2CDATA register directly while it is not in the process of shifting a byte. This occurs when the serial interrupt flag is set. Data in DATA remains stable as long as I2C_STS bit is set. While data is being shifted out, data on the bus is simultaneously being shifted in The DATA always contains the last data byte present on the bus. Thus, in the event of arbitration lost, the transition from master transmitter to slave receiver is made with the correct data in DATA. DATA and the acknowledge bit form a 9-bit shift register, the acknowledge bit is controlled by the device hardware and cannot be accessed by the user. Serial data is shifted through the acknowledge bit into DATA on the rising edges of serial clock pulses on the SCL line. When a byte has been shifted into DATA, the serial data is available in DATA, and the acknowledge bit (ACK or NACK) is returned by the control logic during the ninth clock pulse. 0 8 read-write I2CDIV I2CDIV I2C clock divided Register 0xC read-write n 0x0 0x0 CLK_DIV I2C Clock Divider 0 8 read-write I2CINTSTS I2CINTSTS I2C Interrupt Status Register 0x4 read-write n 0x0 0x0 INTSTS I2S STATUS's Interrupt Status\nWhen a new state is present in the I2CSTATUS register, this bit will be set automatically, and if INTEN bit is set, the I2C interrupt is requested. Software can write one to cleat this bit. 0 1 read-write TIF Time-out Status 1 1 read-write 0 No Time-out flag. Software can cleat this flag #0 1 Time-Out flag active and it is set by hardware. #1 I2CSADDR0 I2CSADDR0 I2C Slave address Register0 0x18 read-write n 0x0 0x0 GCALL General Call Function The I2C controller supports the General Call function. If the GCALL bit is set, the controller will respond to General Call address (00H). When GCALL bit is set, the controller is in Slave mode, it can receive the general call address by 00H after Master send general call address to the I2C bus, then it will follow status of GCALL mode. If it is in Master mode, the ACK bit must be cleared when it will send general call address of 00H to I2C bus. 0 1 read-write 0 General Call Function Disabled #0 1 General Call Function Enabled #1 SADDR I2C Salve Address Register\nThe content of this register is irrelevant when the device is in Master mode. In the Slave mode, the seven most significant bits must be loaded with the device's own address. The device will react if either of the address is matched. 1 7 read-write I2CSADDR1 I2CSADDR1 I2C Slave address Register1 0x1C read-write n 0x0 0x0 I2CSAMASK0 I2CSAMASK0 I2C Slave address Mask Register0 0x28 read-write n 0x0 0x0 SAMASK I2C Slave Address Mask Register\nI2C bus controllers support multiple address recognition with two address mask registers. When the bit in the address mask register is set to b'1, it means the received corresponding address bit is don't-care. If the bit is set to b'0, that means the received corresponding register bit should be exact the same as address register. 1 7 read-write 0 Mask disable (the received corresponding register bit should be exact the same as address register.) 0 1 Mask enable (the received corresponding address bit is don't care.) 1 WKUPEN I2C Wake-up Function Enable 0 1 read-write 0 I2C wake-up function Disabled #0 1 I2C wake-up function Enabled #1 I2CSAMASK1 I2CSAMASK1 I2C Slave address Mask Register1 0x2C read-write n 0x0 0x0 I2CSTATUS I2CSTATUS I2C Status Register 0x8 -1 read-only n 0x0 0x0 STATUS I2C Status Register\nIn addition, states 00H stands for a 'Bus Error'. A 'Bus Error' occurs when a START or STOP condition is present at an illegal position in the formation frame. Example of illegal position: a data byte or an acknowledge bit is present during the serial transfer of an address byte. \nTo recover I2C from bus error, STOP should be set and I2C_STS should be cleared to enter not addressed Slave mode. Then clear STOP to release the bus and to wait new communication. I2C bus can not recognize stop condition during this action when bus error occurs. 0 8 read-only I2CTOUT I2CTOUT I2C Time-out control Register 0x10 read-write n 0x0 0x0 DIV4 Time-Out Counter Input Clock Divider by 4 \nWhen this bit is set enabled, the Time-Out period is prolonging 4 times. 1 1 read-write 0 Disabled #0 1 Enabled #1 TOUTEN Time-out Counter Enable/Disable\nWhen set this bit to enable, the 14 bits time-out counter will start counting when STAINTSTS is cleared. Setting flag STAINTSTS to high or the falling edge of I2C clock or stop signal will reset counter and re-start up counting after STAINTSTS is cleared. 0 1 read-write 0 Disabled #0 1 Enabled #1 I2CWKUPCON I2CWKUPCON I2C Wake-up Control Register 0x3C read-write n 0x0 0x0 WKUPEN I2C Wake-up Function Enable 0 1 read-write 0 I2C wake-up function Disabled #0 1 I2C wake-up function Enabled #1 I2CWKUPSTS I2CWKUPSTS I2C Wake-up Status Register 0x40 read-only n 0x0 0x0 WKUPIF Wake-up Interrupt Flag\nSoftware can write one to clear this flag 0 1 read-only 0 Wake-up flag inactive #0 1 Wake-up flag active #1 I2C1 I2C Register Map I2C 0x0 0x0 0x20 registers n 0x28 0x8 registers n 0x3C 0x8 registers n I2CCON I2CCON I2C Control Register 0x0 read-write n 0x0 0x0 ACK Assert Acknowledge Control Bit 1 1 read-write 0 : When this bit is set to 0 prior to address or data received, a Not acknowledged (high level to SDA) will be returned during the acknowledge clock pulse #0 1 When this bit is set to 1 prior to address or data received, an acknowledged will be returned during the acknowledge clock pulse on the SCL line when #1 I2C_STS I2C Status. \nWhen a new state is present in the I2CSTATUS register, this bit will be set automatically, and if the INTEN bit is set, the I2C interrupt is requested. It must be cleared by software by writing one to this bit and the I2C protocol function will go ahead until the STOP is active or the IPEN is disabled 4 1 read-write 0 I2C's Status disabled and the I2C protocol function will go ahead #0 1 I2C's Status active #1 INTEN Interrupt Enable. 7 1 read-write 0 I2C interrupt Disabled #0 1 I2C interrupt Enabled #1 IPEN I2C Function Enable\nWhen this bit is set to 1, the I2C serial function is enabled. 0 1 read-write 0 I2C function Disabled #0 1 I2C function Enabled #1 START I2C START Command\nSetting this bit to 1 to enter Master mode, the device sends a START or repeat START condition to bus when the bus is free and it will be cleared to 0 after the START command is active and the STATUS has been updated. 3 1 read-write 0 After START or repeat START is active #0 1 Sends a START or repeat START condition to bus #1 STOP I2C STOP Control Bit. In Master mode, set this bit to 1 to transmit a STOP condition to bus then the controller will check the bus condition if a STOP condition is detected and this bit will be cleared by hardware automatically. In Slave mode, set this bit to 1 to reset the controller to the defined not addressed Slave mode. This means it is NO LONGER in the slave receiver mode to receive data from the master transmit device. 2 1 read-write 0 Will be cleared by hardware automatically if a STOP condition is detected #0 1 Sends a STOP condition to bus in Master mode or reset the controller to not addressed in Slave mode #1 I2CDATA I2CDATA I2C DATA Register 0x14 read-write n 0x0 0x0 DATA I2C Data Register The DATA contains a byte of serial data to be transmitted or a byte which has just been received. The user can read from or write to this 8-bit I2CDATA register directly while it is not in the process of shifting a byte. This occurs when the serial interrupt flag is set. Data in DATA remains stable as long as I2C_STS bit is set. While data is being shifted out, data on the bus is simultaneously being shifted in The DATA always contains the last data byte present on the bus. Thus, in the event of arbitration lost, the transition from master transmitter to slave receiver is made with the correct data in DATA. DATA and the acknowledge bit form a 9-bit shift register, the acknowledge bit is controlled by the device hardware and cannot be accessed by the user. Serial data is shifted through the acknowledge bit into DATA on the rising edges of serial clock pulses on the SCL line. When a byte has been shifted into DATA, the serial data is available in DATA, and the acknowledge bit (ACK or NACK) is returned by the control logic during the ninth clock pulse. 0 8 read-write I2CDIV I2CDIV I2C clock divided Register 0xC read-write n 0x0 0x0 CLK_DIV I2C Clock Divider 0 8 read-write I2CINTSTS I2CINTSTS I2C Interrupt Status Register 0x4 read-write n 0x0 0x0 INTSTS I2S STATUS's Interrupt Status\nWhen a new state is present in the I2CSTATUS register, this bit will be set automatically, and if INTEN bit is set, the I2C interrupt is requested. Software can write one to cleat this bit. 0 1 read-write TIF Time-out Status 1 1 read-write 0 No Time-out flag. Software can cleat this flag #0 1 Time-Out flag active and it is set by hardware. #1 I2CSADDR0 I2CSADDR0 I2C Slave address Register0 0x18 read-write n 0x0 0x0 GCALL General Call Function The I2C controller supports the General Call function. If the GCALL bit is set, the controller will respond to General Call address (00H). When GCALL bit is set, the controller is in Slave mode, it can receive the general call address by 00H after Master send general call address to the I2C bus, then it will follow status of GCALL mode. If it is in Master mode, the ACK bit must be cleared when it will send general call address of 00H to I2C bus. 0 1 read-write 0 General Call Function Disabled #0 1 General Call Function Enabled #1 SADDR I2C Salve Address Register\nThe content of this register is irrelevant when the device is in Master mode. In the Slave mode, the seven most significant bits must be loaded with the device's own address. The device will react if either of the address is matched. 1 7 read-write I2CSADDR1 I2CSADDR1 I2C Slave address Register1 0x1C read-write n 0x0 0x0 I2CSAMASK0 I2CSAMASK0 I2C Slave address Mask Register0 0x28 read-write n 0x0 0x0 SAMASK I2C Slave Address Mask Register\nI2C bus controllers support multiple address recognition with two address mask registers. When the bit in the address mask register is set to b'1, it means the received corresponding address bit is don't-care. If the bit is set to b'0, that means the received corresponding register bit should be exact the same as address register. 1 7 read-write 0 Mask disable (the received corresponding register bit should be exact the same as address register.) 0 1 Mask enable (the received corresponding address bit is don't care.) 1 WKUPEN I2C Wake-up Function Enable 0 1 read-write 0 I2C wake-up function Disabled #0 1 I2C wake-up function Enabled #1 I2CSAMASK1 I2CSAMASK1 I2C Slave address Mask Register1 0x2C read-write n 0x0 0x0 I2CSTATUS I2CSTATUS I2C Status Register 0x8 -1 read-only n 0x0 0x0 STATUS I2C Status Register\nIn addition, states 00H stands for a 'Bus Error'. A 'Bus Error' occurs when a START or STOP condition is present at an illegal position in the formation frame. Example of illegal position: a data byte or an acknowledge bit is present during the serial transfer of an address byte. \nTo recover I2C from bus error, STOP should be set and I2C_STS should be cleared to enter not addressed Slave mode. Then clear STOP to release the bus and to wait new communication. I2C bus can not recognize stop condition during this action when bus error occurs. 0 8 read-only I2CTOUT I2CTOUT I2C Time-out control Register 0x10 read-write n 0x0 0x0 DIV4 Time-Out Counter Input Clock Divider by 4 \nWhen this bit is set enabled, the Time-Out period is prolonging 4 times. 1 1 read-write 0 Disabled #0 1 Enabled #1 TOUTEN Time-out Counter Enable/Disable\nWhen set this bit to enable, the 14 bits time-out counter will start counting when STAINTSTS is cleared. Setting flag STAINTSTS to high or the falling edge of I2C clock or stop signal will reset counter and re-start up counting after STAINTSTS is cleared. 0 1 read-write 0 Disabled #0 1 Enabled #1 I2CWKUPCON I2CWKUPCON I2C Wake-up Control Register 0x3C read-write n 0x0 0x0 WKUPEN I2C Wake-up Function Enable 0 1 read-write 0 I2C wake-up function Disabled #0 1 I2C wake-up function Enabled #1 I2CWKUPSTS I2CWKUPSTS I2C Wake-up Status Register 0x40 read-only n 0x0 0x0 WKUPIF Wake-up Interrupt Flag\nSoftware can write one to clear this flag 0 1 read-only 0 Wake-up flag inactive #0 1 Wake-up flag active #1 I2S I2S Register Map I2S 0x0 0x0 0x18 registers n CLKDIV I2S_CLKDIV I2S Clock Divider Register 0x4 read-write n 0x0 0x0 BCLK_DIV Bit Clock Divider\nIf I2S is operated in Master mode, bit clock is provided by this chip. Software can program these bits to generate sampling rate clock frequency. 8 8 read-write MCLK_DIV Master Clock Divider If the external crystal frequency is (2xMCLK_DIV)*256fs then software can program these bits to generate 256fs clock frequency to audio CODEC chip. If MCLK_DIV is set to 0 , MCLK is the same as external clock input. 0 3 read-write CTRL I2S_CTRL I2S Control Register 0x0 read-write n 0x0 0x0 CLR_RXFIFO Clear Receiving FIFO Write 1 to clear receiving FIFO, internal pointer is reset to FIFO start point, and RX_LEVEL[3:0] returns to zero and receiving FIFO becomes empty. This bit is cleared by hardware automatically, and read it return zero. 19 1 read-write CLR_TXFIFO Clear Transmit FIFO Write 1 to clear transmitting FIFO, internal pointer is reset to FIFO start point, TX_LEVEL[3:0] returns to zero and transmitting FIFO becomes empty but data in transmit FIFO is not changed. This bit is cleared by hardware automatically, read it to return zero. 18 1 read-write FORMAT Data Format 7 1 read-write 0 I2S data format #0 1 MSB justified data format #1 I2SEN I2S Controller Enable 0 1 read-write 0 Disabled #0 1 Enabled #1 LCHZCEN Left Channel Zero Cross Detect Enable If this bit is set to 1 , when left channel data sign bit is changed or next shift data bits are all zero then LZCF flag in I2S_STATUS register is set to 1 . It works on transmitting mode only. 17 1 read-write 0 Left channel zero cross detection Disabled #0 1 Left channel zero cross detection Enabled #1 MCLKEN Master Clock Enable\nEnable master MCLK timing output to the external audio codec device. The output frequency is according to MCLK_DIV[2:0] in the I2S_CLKDIV register. 15 1 read-write 0 Master Clock Disabled #0 1 Master Clock Enabled #1 MONO Monaural Data 6 1 read-write 0 Data is stereo format #0 1 Data is monaural format and gets the right channel data from I2S bus when this mode is enabled #1 MUTE Transmitting Mute Enable 3 1 read-write 0 Transmit data in buffer to channel #0 1 Transmit '0' to channel #1 RCHZCEN Right Channel Zero Cross Detect Enable If this bit is set to 1 , when right channel data sign bit is changed or next shift data bits are all zero then RZCF flag in I2S_STATUS register is set to 1 . It works on transmitting mode only. 16 1 read-write 0 Right channel zero cross detection Disabled #0 1 Right channel zero cross detection Enabled #1 RXDMA Enable Receive DMA\nWhen RX DMA is enabled, I2S requests PDMA to transfer data from receiving FIFO to memory if FIFO is not empty. 21 1 read-write 0 RX DMA Disabled #0 1 RX DMA Enabled #1 RXEN Receive Enable 2 1 read-write 0 Data receiving Disabled #0 1 Data receiving Enabled #1 RXLCH Receive Left Channel Enable 23 1 read-write 0 Receives right channel data when monaural format is selected #0 1 Receives left channel data when monaural format is selected #1 RXTH Receiving FIFO Threshold Level 12 3 read-write SLAVE Slave Mode\nI2S can operate as master or Slave mode. For Master mode, I2S_BCLK and I2S_LRCLK pins are output mode and also outputs I2S_BCLK and I2S_LRCLK signals to the audio CODEC. When act as Slave mode, I2S_BCLK and I2S_LRCLK pins are input mode and I2S_BCLK and I2S_LRCLK signals are received from the outer audio CODEC chip. 8 1 read-write 0 Master mode #0 1 Slave mode #1 TXDMA Enable Transmit DMA\nWhen TX DMA is enabled, I2S requests PDMA to transfer data from memory to transmitting FIFO if FIFO is not full 20 1 read-write 0 TX DMA Disabled #0 1 TX DMA Enabled #1 TXEN Transmit Enable 1 1 read-write 0 Data transmitting Disabled #0 1 Data transmitting Enabled #1 TXTH Transmit FIFO Threshold Level 9 3 read-write WORDWIDTH Word width 4 2 read-write INTEN I2S_INTEN I2S Interrupt Enable Register 0x8 read-write n 0x0 0x0 LZCIE Left Channel Zero Cross Interrupt Enable Interrupt occurs if this bit is set to 1 and left channel is zero crossing. 12 1 read-write 0 Interrupt Disabled #0 1 Interrupt Enabled #1 RXOVFIE Receiving FIFO Overflow Interrupt Enable Interrupt occurs if this bit is set to 1 and receiving FIFO overflow flag is set to 1 1 1 read-write 0 Interrupt Disabled #0 1 Interrupt Enabled #1 RXTHIE Receiving FIFO Threshold Level Interrupt Enable Interrupt occurs if this bit is set to 1 and data words in receiving FIFO is less than RXTH[2:0]. 2 1 read-write 0 Interrupt Disabled #0 1 Interrupt Enabled #1 RXUDFIE Receiving FIFO Underflow Interrupt Enable Interrupt occurs if this bit is set to 1 and receiving FIFO underflow flag is set to 1 . 0 1 read-write 0 Interrupt Disabled #0 1 Interrupt Enabled #1 RZCIE Right Channel Zero Cross Interrupt Enable Interrupt occurs if this bit is set to 1 and right channel is zero crossing. 11 1 read-write 0 Interrupt Disabled #0 1 Interrupt Enabled #1 TXOVFIE Transmitting FIFO Overflow Interrupt Enable Interrupt occurs if this bit is set to 1 and transmitting FIFO overflow flag is set to 1 9 1 read-write 0 Interrupt Disabled #0 1 Interrupt Enabled #1 TXTHIE Transmitting FIFO Threshold Level Interrupt Enable Interrupt occurs if this bit is set to 1 and data words in transmitting FIFO is less than TXTH[2:0]. 10 1 read-write 0 Interrupt Disabled #0 1 Interrupt Enabled #1 TXUDFIE Transmitting FIFO Underflow Interrupt Enable Interrupt occurs if this bit is set to 1 and transmitting FIFO underflow flag is set to 1 . 8 1 read-write 0 Interrupt Disabled #0 1 Interrupt Enabled #1 RXFIFO I2S_RXFIFO I2S Receive FIFO Register 0x14 read-only n 0x0 0x0 RXFIFO Receiving FIFO Register\nI2S contains 8 words (8x32-bit) data buffer for data receiving. Read this register to get data in FIFO. The remaining data word number is indicated by RX_LEVEL[3:0] in the I2S_STATUS register. This register is read only. 0 32 read-only STATUS I2S_STATUS I2S Status Register 0xC -1 read-write n 0x0 0x0 I2SINT I2S Interrupt Flag\nIt is wire-OR of I2STXINT and I2SRXINT bits.\nThis bit is read only. 0 1 read-write 0 No I2S interrupt #0 1 I2S interrupt occurred #1 I2SRXINT I2S Receiving Interrupt\nThis bit is read only 1 1 read-write 0 No receiving interrupt occurred #0 1 Receiving interrupt occurred #1 I2STXINT I2S Transmit Interrupt\nThis bit is read only 2 1 read-write 0 No transmit interrupt occurred #0 1 Transmit interrupt occurred #1 LZCF Left Channel Zero Cross Flag \nIt indicates the next sample data sign bit of left channel is changed or all data bits are zero.\nThis bit is cleared by writing 1. 23 1 read-write 0 No zero cross #0 1 Left channel zero cross is detected #1 RIGHT Right Channel\nThis bit indicates the current transmitting data is belong to right channel\nThis bit is read only 3 1 read-write 0 Left channel #0 1 Right channel #1 RXEMPTY Receiving FIFO Empty\nThis bit reflect data word number in the receiving FIFO is zero\nThis bit is read only. 12 1 read-write 0 Empty #0 1 Not empty #1 RXFULL Receiving FIFO Full\nThis bit reflect data word number in the receiving FIFO is 8\nThis bit is read only 11 1 read-write 0 Full #0 1 Not full #1 RXOVF Receiving FIFO Overflow Flag When the receiving FIFO is full and receiving hardware attempts to write data into receiving FIFO then this bit is set to 1 . Data in 1st buffer is overwritten. This bit is cleared by writing 1. 9 1 read-write 0 No overflow occurred #0 1 Overflow occurred #1 RXTHF Receiving FIFO Threshold Flag When data word(s) in the receiving FIFO is equal to or higher than threshold value set in RXTH[2:0], the RXTHF bit becomes to 1 . It keeps at 1 till RX_LEVEL[3:0] less than RXTH[1:0] after software reads data from the RXFIFO register. This bit is read only 10 1 read-write 0 Data word(s) in receiving FIFO is lower than threshold level #0 1 Data word(s) in receiving FIFO is equal to or higher than threshold level #1 RXUDF Receiving FIFO Underflow Flag Read the receiving FIFO when it is empty, this bit set to 1 indicate underflow occur. This bit is cleared by writing 1. 8 1 read-write 0 No underflow occurred #0 1 Underflow occurred #1 RX_LEVEL Receive FIFO Level 24 4 read-write RZCF Right channel zero cross flag \nIt indicates the data sign of right channel next sample data is changed or all data bits are zero.\nThis bit is cleared by writing 1. 22 1 read-write 0 No zero cross #0 1 Right channel zero cross is detected #1 TXBUSY Transmitting Busy\nThis bit is cleared to 0 when all data in the transmitting FIFO and shift buffer is shifted out. Set this bit to 1 when 1st data is loading to shift buffer. \nThis bit is read only. 21 1 read-write 0 Transmit shift buffer is empty #0 1 Transmit shift buffer is busy #1 TXEMPTY Transmitting FIFO Empty\nThis bit reflect data word number in the transmitting FIFO is zero\nThis bit is read only. 20 1 read-write 0 Empty #0 1 Not empty #1 TXFULL Transmitting FIFO Full\nThis bit reflect data word number in the transmitting FIFO is 8\nThis bit is read only 19 1 read-write 0 Full #0 1 Not full #1 TXOVF Transmit FIFO Overflow Flag Write data to the transmitting FIFO when it is full and this bit will set to 1 This bit is cleared by writing 1. 17 1 read-write 0 No overflow #0 1 Overflow #1 TXTHF Transmitting FIFO Threshold Flag When data word(s) in the transmitting FIFO is equal to or lower than threshold value set in TXTH[2:0],the TXTHF bit becomes to 1 . It keeps at 1 till TX_LEVEL[3:0] is higher than TXTH[1:0] after software writes data into the TXFIFO register. This bit is read only 18 1 read-write 0 Data word(s) in transmitting FIFO is higher than threshold level #0 1 Data word(s) in transmitting FIFO is equal to or lower than threshold level #1 TXUDF Transmitting FIFO Underflow Flag When the transmitting FIFO is empty and shift logic hardware read data from the data FIFO causes this set to 1 . This bit is cleared by writing 1. 16 1 read-write 0 No underflow #0 1 Underflow #1 TX_LEVEL Transmitting FIFO Level 28 4 read-write TXFIFO I2S_TXFIFO I2S Transmit FIFO Register 0x10 write-only n 0x0 0x0 TXFIFO Transmitting FIFO register\nI2S contains 8 words (8x32-bit) data buffer for data transmitting. Write data to this register in order to prepare data for transmitting. The remaining word number is indicated by TX_LEVEL[3:0] in the I2S_STATUS register. This register is write only. 0 32 write-only INT SCS Register Map SCS 0x0 0x0 0x88 registers n IRQ0_SRC IRQ0_SRC MCU IRQ0 (BOD_INT) interrupt source identify 0x0 read-only n 0x0 0x0 INT_SRC Interrupt Source\nDefine the interrupt sources for interrupt event. 0 4 read-only IRQ10_SRC IRQ10_SRC MCU IRQ10 (BOD_INT) interrupt source identify 0x28 read-write n 0x0 0x0 IRQ11_SRC IRQ11_SRC MCU IRQ11 (BOD_INT) interrupt source identify 0x2C read-write n 0x0 0x0 IRQ12_SRC IRQ12_SRC MCU IRQ12 (BOD_INT) interrupt source identify 0x30 read-write n 0x0 0x0 IRQ13_SRC IRQ13_SRC MCU IRQ13 (BOD_INT) interrupt source identify 0x34 read-write n 0x0 0x0 IRQ14_SRC IRQ14_SRC MCU IRQ14 (BOD_INT) interrupt source identify 0x38 read-write n 0x0 0x0 IRQ15_SRC IRQ15_SRC MCU IRQ15 (BOD_INT) interrupt source identify 0x3C read-write n 0x0 0x0 IRQ16_SRC IRQ16_SRC MCU IRQ16 (BOD_INT) interrupt source identify 0x40 read-write n 0x0 0x0 IRQ17_SRC IRQ17_SRC MCU IRQ017 (BOD_INT) interrupt source identify 0x44 read-write n 0x0 0x0 IRQ18_SRC IRQ18_SRC MCU IRQ18 (BOD_INT) interrupt source identify 0x48 read-write n 0x0 0x0 IRQ19_SRC IRQ19_SRC MCU IRQ19 (BOD_INT) interrupt source identify 0x4C read-write n 0x0 0x0 IRQ1_SRC IRQ1_SRC MCU IRQ1 (BOD_INT) interrupt source identify 0x4 read-write n 0x0 0x0 IRQ20_SRC IRQ20_SRC MCU IRQ20 (BOD_INT) interrupt source identify 0x50 read-write n 0x0 0x0 IRQ21_SRC IRQ21_SRC MCU IRQ21 (BOD_INT) interrupt source identify 0x54 read-write n 0x0 0x0 IRQ22_SRC IRQ22_SRC MCU IRQ22 (BOD_INT) interrupt source identify 0x58 read-write n 0x0 0x0 IRQ23_SRC IRQ23_SRC MCU IRQ23 (BOD_INT) interrupt source identify 0x5C read-write n 0x0 0x0 IRQ24_SRC IRQ24_SRC MCU IRQ24 (BOD_INT) interrupt source identify 0x60 read-write n 0x0 0x0 IRQ25_SRC IRQ25_SRC MCU IRQ25 (BOD_INT) interrupt source identify 0x64 read-write n 0x0 0x0 IRQ26_SRC IRQ26_SRC MCU IRQ26 (BOD_INT) interrupt source identify 0x68 read-write n 0x0 0x0 IRQ27_SRC IRQ27_SRC MCU IRQ27 (BOD_INT) interrupt source identify 0x6C read-write n 0x0 0x0 IRQ28_SRC IRQ28_SRC MCU IRQ28 (BOD_INT) interrupt source identify 0x70 read-write n 0x0 0x0 IRQ29_SRC IRQ29_SRC MCU IRQ29 (BOD_INT) interrupt source identify 0x74 read-write n 0x0 0x0 IRQ2_SRC IRQ2_SRC MCU IRQ2 (BOD_INT) interrupt source identify 0x8 read-write n 0x0 0x0 IRQ30_SRC IRQ30_SRC MCU IRQ30 (BOD_INT) interrupt source identify 0x78 read-write n 0x0 0x0 IRQ31_SRC IRQ31_SRC MCU IRQ31 (BOD_INT) interrupt source identify 0x7C read-write n 0x0 0x0 IRQ3_SRC IRQ3_SRC MCU IRQ3 (BOD_INT) interrupt source identify 0xC read-write n 0x0 0x0 IRQ4_SRC IRQ4_SRC MCU IRQ4 (BOD_INT) interrupt source identify 0x10 read-write n 0x0 0x0 IRQ5_SRC IRQ5_SRC MCU IRQ5 (BOD_INT) interrupt source identify 0x14 read-write n 0x0 0x0 IRQ6_SRC IRQ6_SRC MCU IRQ6 (BOD_INT) interrupt source identify 0x18 read-write n 0x0 0x0 IRQ7_SRC IRQ7_SRC MCU IRQ7 (BOD_INT) interrupt source identify 0x1C read-write n 0x0 0x0 IRQ8_SRC IRQ8_SRC MCU IRQ8 (BOD_INT) interrupt source identify 0x20 read-write n 0x0 0x0 IRQ9_SRC IRQ9_SRC MCU IRQ9 (BOD_INT) interrupt source identify 0x24 read-write n 0x0 0x0 MCU_IRQ MCU_IRQ MCU interrupt request source register 0x84 read-write n 0x0 0x0 MCU_IRQ MCU IRQ Source Register The MCU_IRQ collects all the interrupts from the peripherals and generates the synchronous interrupt to MCU Cortex-M0. There are two modes to generate interrupt to Cortex-M0, the normal mode and test mode. In Test mode, all the interrupts from peripheral are blocked, and the interrupts sent to MCU are replaced by set the bit31~bit0. When the MCU_IRQ[n] is 0 , setting MCU_IRQ[n] 1 will generate an interrupt to Cortex_M0 NVIC[n]. When the MCU_IRQ[n] is 1 (means an interrupt is asserted), setting the MCU_bit[n] will clear the interrupt Set MCU_IRQ[n] 0 : no any effect 0 32 read-write NMI_SEL NMI_SEL NMI source interrupt select control register 0x80 read-write n 0x0 0x0 NMI_SEL The NMI interrupt to Cortex-M0 can be selected from one of the interrupt[31:0]\nThe NMI_SEL bit[4:0] used to select the NMI interrupt source 0 5 read-write LCD LCD Register Map LCD 0x0 0x0 0x38 registers n CTL LCD_CTL LCD Control Register 0x0 read-write n 0x0 0x0 BLINK LCD Blinking Enable 7 1 read-write 0 Blinking Disabled #0 1 Blinking Enabled #1 EN LCD Enable 0 1 read-write 0 LCD controller operation Disabled #0 1 LCD controller operation Enabled #1 FREQ LCD Frequency Selection 4 3 read-write 0 LCDCLK Divided by 32 #000 1 LCDCLK Divided by 64 #001 2 LCDCLK Divided by 96 #010 3 LCDCLK Divided by 128 #011 4 LCDCLK Divided by 192 #100 5 LCDCLK Divided by 256 #101 6 LCDCLK Divided by 384 #110 7 LCDCLK Divided by 512 #111 MUX Mux select 1 3 read-write 0 Static #000 1 1/2 duty #001 2 1/3 duty #010 3 1/4 duty #011 4 1/5 duty #100 5 1/6 duty #101 6 Reserved #110 7 Reserved #111 PDDISP_EN Power Down Display Enable\nThe LCD can be programmed to be displayed or not be displayed at power down state by PDDISP_EN setting. 8 1 read-write 0 LCD display Disabled ( LCD unlit) at power down state #0 1 LCD display Enabled (LCD keeps the display) at power down state #1 PDINT_EN Power Down Interrupt Enable\nIf the power down request is triggered from system management, LCD controller will execute the frame completely to avoid the DC component. When the frame is executed completely, the LCD power down interrupt signal is generated to inform system management that LCD controller is ready to enter power down state, if PDINT_EN is set to 1. Otherwise, if PDINT_EN is set to 0, the LCD power down interrupt signal is blocked and the interrupt is disabled to send to system management. 9 1 read-write 0 Power Down Interrupt Disabled #0 1 Power Down Interrupt Enabled #1 DISPCTL LCD_DISPCTL LCD Display Control Register 0x4 read-write n 0x0 0x0 BIAS_SEL Bias Selection 1 2 read-write 0 Static #00 1 1/2 Bias #01 2 1/3 Bias #10 3 Reserved #11 BV_SEL Bias Voltage Type Selection\n0: Reserved\nNote: The external resistor ladder should be connected to the V1 pin, V2 pin, V3 pin and VSS. The VLCD pin should also be connected to VDD. 6 1 read-write 0 C-Type bias mode. Bias voltage source from internal bias generator #0 1 R-Type bias mode. Bias voltage source from external bias generator #1 CPUMP_EN Charge Pump Enable 0 1 read-write 0 Disabled #0 1 Enabled #1 CPUMP_FREQ Charge Pump Frequency Selection 11 3 read-write 0 LCDCLK #000 1 LCDCLK/2 #001 2 LCDCLK/4 #010 3 LCDCLK/8 #011 4 LCDCLK/16 #100 5 LCDCLK/32 #101 6 LCDCLK/64 #110 7 LCDCLK/128 #111 CPUMP_VOL_SET Charge Pump Voltage Selection 8 3 read-write 0 2.7V #000 1 2.8V #001 2 2.9V #010 3 3.0V #011 4 3.1V #100 5 3.2V #101 6 3.3V #110 7 3.4V #111 IBRL_EN Internal Bias Reference ladder Enable 4 1 read-write 0 Bias reference ladder Disabled #0 1 Bias reference ladder Dnabled #1 FCR LCD_FCR LCD frame counter control register 0x30 read-write n 0x0 0x0 FCEN LCD Frame Counter Enable 0 1 read-write 0 Disabled #0 1 Enabled #1 FCINTEN LCD Frame Counter Interrupt Enable 1 1 read-write 0 Frame counter interrupt Disabled #0 1 Frame counter interrupt Enabled #1 FCV Frame Counter Top Value\nThese 6 bits contain the top value of the Frame counter. 4 6 read-write PRESCL Frame Counter Pre-scaler Value 2 2 read-write 0 CLKframe/1 #00 1 CLKframe/2 #01 2 CLKframe/4 #10 3 CLKframe/8 #11 FCSTS LCD_FCSTS LCD frame counter status 0x34 read-write n 0x0 0x0 FCSTS LCD Frame Counter Status 0 1 read-write 0 Frame counter value does not reach FCV (Frame Count TOP value) #0 1 Frame counter value reaches FCV (Frame Count TOP value). If the FCINTEN is s enabled, the frame counter overflow Interrupt is generated #1 PDSTS Power-down Interrupt Status 1 1 read-write 0 LCD power down is not ready #0 1 LCD power down is ready #1 MEM_0 LCD_MEM_0 LCD SEG3 ~ SEG0 data 0x8 read-write n 0x0 0x0 SEG_0_4xdata None 0 6 read-write SEG_1_4xdata None 8 7 read-write SEG_2_4xdata None 16 6 read-write SEG_3_4xdata None 24 6 read-write MEM_1 LCD_MEM_1 0xC read-write n 0x0 0x0 MEM_2 LCD_MEM_2 0x10 read-write n 0x0 0x0 MEM_3 LCD_MEM_3 0x14 read-write n 0x0 0x0 MEM_4 LCD_MEM_4 0x18 read-write n 0x0 0x0 MEM_5 LCD_MEM_5 0x1C read-write n 0x0 0x0 MEM_6 LCD_MEM_6 0x20 read-write n 0x0 0x0 MEM_7 LCD_MEM_7 0x24 read-write n 0x0 0x0 MEM_8 LCD_MEM_8 0x28 read-write n 0x0 0x0 MEM_9 LCD_MEM_9 0x2C read-write n 0x0 0x0 PDMA_CH1 PDMA Register Map PDMA 0x0 0x0 0x10 registers n 0x14 0x18 registers n 0x80 0x4 registers n PDMA_BCR1 PDMA_BCR1 PDMA Transfer Byte Count Register 0xC read-write n 0x0 0x0 PDMA_BCR PDMA Transfer Byte Count Register\nThis field indicates a 16-bit transfer byte count of PDMA. 0 16 read-write PDMA_BUF1 PDMA_BUF1 PDMA Internal Buffer FIFO 0x80 read-only n 0x0 0x0 PDMA_BUF PDMA Internal Buffer FIFO (Read Only)\nEach channel has its own 1 words internal buffer. 0 32 read-only PDMA_CBCR1 PDMA_CBCR1 PDMA Current Transfer Byte Count Register 0x1C read-only n 0x0 0x0 PDMA_CBCR PDMA Current Byte Count Register (Read Only)\nThis field indicates the current remained byte count of PDMA.\nNote: These fields will be changed when PDMA finish data transfer (data transfer to destination address), 0 24 read-only PDMA_CDAR1 PDMA_CDAR1 PDMA Current Destination Address Register 0x18 read-only n 0x0 0x0 PDMA_CDAR PDMA Current Destination Address Register (Read Only)\nThis field indicates the destination address where the PDMA transfer is just occurring. 0 32 read-only PDMA_CSAR1 PDMA_CSAR1 PDMA Current Source Address Register 0x14 read-only n 0x0 0x0 PDMA_CSAR PDMA Current Source Address Register (Read Only)\nThis field indicates the source address where the PDMA transfer is just occurring. 0 32 read-only PDMA_CSR1 PDMA_CSR1 PDMA Control Register 0x0 read-write n 0x0 0x0 APB_TWS Peripheral Transfer Width Selection 19 2 read-write DAD_SEL Transfer Destination Address Direction Selection\n 6 2 read-write MODE_SEL PDMA Mode Select\n 2 2 read-write PDMACEN PDMA Channel Enable Setting this bit to 1 enables PDMA's operation. If this bit is cleared, PDMA will ignore all PDMA request and force Bus Master into IDLE state. Note: SW_RST will clear this bit. 0 1 read-write SAD_SEL Transfer Source Address Direction Selection\n 4 2 read-write SW_RST Software Engine Reset 1 1 read-write 0 No effect #0 1 Reset the internal state machine and pointers. The contents of control register will not be cleared. This bit will be auto cleared after few clock cycles #1 TO_EN Time-out Enable\nThis bit will enable PDMA internal Timer. While Timer is counted to zero, the TO_IS will be set. 12 1 read-write 0 PDMA internal Timer Disabled #0 1 PDMA internal Timer Enabled #1 TRIG_EN TRIG_EN\nNote1: When PDMA transfer completed, this bit will be cleared automatically.\nNote2: If the bus error occurs, all PDMA transfer will be stopped. Software must reset all PDMA channel, and then trig again. 23 1 read-write 0 No effect #0 1 PDMA data read or write transfer Enabled #1 PDMA_DAR1 PDMA_DAR1 PDMA Destination Address Register 0x8 read-write n 0x0 0x0 PDMA_DAR PDMA Transfer Destination Address Register\nThis field indicates a 32-bit destination address of PDMA.\nNote : The destination address must be word alignment 0 32 read-write PDMA_IER1 PDMA_IER1 PDMA Interrupt Enable Register 0x20 -1 read-write n 0x0 0x0 TABORT_IE PDMA Read/Write Target Abort Interrupt Enable 0 1 read-write 0 Target abort interrupt generation Disabled during PDMA transfer #0 1 Target abort interrupt generation Enabled during PDMA transfer #1 TD_IE PDMA Transfer Done Interrupt Enable 1 1 read-write 0 Interrupt generator Disabled when PDMA transfer is done #0 1 Interrupt generator Enabled when PDMA transfer is done #1 TO_IE Time-Out Interrupt Enable 6 1 read-write 0 Time-out interrupt Disabled #0 1 Time-out interrupt Enabled #1 WRA_BCR_IE Wrap Around Byte Count Interrupt Enable\n 2 4 read-write PDMA_ISR1 PDMA_ISR1 PDMA Interrupt Status Register 0x24 read-write n 0x0 0x0 TABORT_IS PDMA Read/Write Target Abort Interrupt Status Flag Note1: This bit is cleared by writing 1 to itself. Note2: The PDMA_ISR [TABORT_IF] indicate bus master received ERROR response or not, if bus master received occur it means that target abort is happened. PDMA controller will stop transfer and respond this event to software then go to IDLE state. When target abort occurred, software must reset PDMA controller, and then transfer those data again. 0 1 read-write 0 No bus ERROR response received #0 1 Bus ERROR response received #1 TD_IS Transfer Done Interrupt Status Flag This bit indicates that PDMA has finished all transfer. Note: This bit is cleared by writing 1 to itself. 1 1 read-write 0 Not finished yet #0 1 Done #1 TO_IS Time-Out Interrupt Status Flag This flag indicated that PDMA has waited peripheral request for a period defined by PDMA_TCR. Note: This bit is cleared by writing 1 to itself. 6 1 read-write 0 No time-out flag #0 1 Time-out flag #1 WRA_BCR_IS Wrap Around Transfer Byte Count Interrupt Status Flag 2 4 read-write PDMA_SAR1 PDMA_SAR1 PDMA Source Address Register 0x4 read-write n 0x0 0x0 PDMA_SAR PDMA Transfer Source Address Register\nThis field indicates a 32-bit source address of PDMA.\nNote: The source address must be word alignment. 0 32 read-write PDMA_TCR1 PDMA_TCR1 PDMA Timer Counter Setting Register 0x28 read-write n 0x0 0x0 PDMA_TCR PDMA Timer Count Setting Register\nEach PDMA controller contains an internal counter. The internal counter starts counting when setting PDMA_CSRx [TO_EN] register, clearing and restart counting when complete each peripheral request service. 0 16 read-write PDMA_CH2 PDMA Register Map PDMA 0x0 0x0 0x10 registers n 0x14 0x18 registers n 0x80 0x4 registers n PDMA_BCR2 PDMA_BCR2 PDMA Transfer Byte Count Register 0xC read-write n 0x0 0x0 PDMA_BCR PDMA Transfer Byte Count Register\nThis field indicates a 16-bit transfer byte count of PDMA. 0 16 read-write PDMA_BUF2 PDMA_BUF2 PDMA Internal Buffer FIFO 0x80 read-only n 0x0 0x0 PDMA_BUF PDMA Internal Buffer FIFO (Read Only)\nEach channel has its own 1 words internal buffer. 0 32 read-only PDMA_CBCR2 PDMA_CBCR2 PDMA Current Transfer Byte Count Register 0x1C read-only n 0x0 0x0 PDMA_CBCR PDMA Current Byte Count Register (Read Only)\nThis field indicates the current remained byte count of PDMA.\nNote: These fields will be changed when PDMA finish data transfer (data transfer to destination address), 0 24 read-only PDMA_CDAR2 PDMA_CDAR2 PDMA Current Destination Address Register 0x18 read-only n 0x0 0x0 PDMA_CDAR PDMA Current Destination Address Register (Read Only)\nThis field indicates the destination address where the PDMA transfer is just occurring. 0 32 read-only PDMA_CSAR2 PDMA_CSAR2 PDMA Current Source Address Register 0x14 read-only n 0x0 0x0 PDMA_CSAR PDMA Current Source Address Register (Read Only)\nThis field indicates the source address where the PDMA transfer is just occurring. 0 32 read-only PDMA_CSR2 PDMA_CSR2 PDMA Control Register 0x0 read-write n 0x0 0x0 APB_TWS Peripheral Transfer Width Selection 19 2 read-write DAD_SEL Transfer Destination Address Direction Selection\n 6 2 read-write MODE_SEL PDMA Mode Select\n 2 2 read-write PDMACEN PDMA Channel Enable Setting this bit to 1 enables PDMA's operation. If this bit is cleared, PDMA will ignore all PDMA request and force Bus Master into IDLE state. Note: SW_RST will clear this bit. 0 1 read-write SAD_SEL Transfer Source Address Direction Selection\n 4 2 read-write SW_RST Software Engine Reset 1 1 read-write 0 No effect #0 1 Reset the internal state machine and pointers. The contents of control register will not be cleared. This bit will be auto cleared after few clock cycles #1 TO_EN Time-out Enable\nThis bit will enable PDMA internal Timer. While Timer is counted to zero, the TO_IS will be set. 12 1 read-write 0 PDMA internal Timer Disabled #0 1 PDMA internal Timer Enabled #1 TRIG_EN TRIG_EN\nNote1: When PDMA transfer completed, this bit will be cleared automatically.\nNote2: If the bus error occurs, all PDMA transfer will be stopped. Software must reset all PDMA channel, and then trig again. 23 1 read-write 0 No effect #0 1 PDMA data read or write transfer Enabled #1 PDMA_DAR2 PDMA_DAR2 PDMA Destination Address Register 0x8 read-write n 0x0 0x0 PDMA_DAR PDMA Transfer Destination Address Register\nThis field indicates a 32-bit destination address of PDMA.\nNote : The destination address must be word alignment 0 32 read-write PDMA_IER2 PDMA_IER2 PDMA Interrupt Enable Register 0x20 -1 read-write n 0x0 0x0 TABORT_IE PDMA Read/Write Target Abort Interrupt Enable 0 1 read-write 0 Target abort interrupt generation Disabled during PDMA transfer #0 1 Target abort interrupt generation Enabled during PDMA transfer #1 TD_IE PDMA Transfer Done Interrupt Enable 1 1 read-write 0 Interrupt generator Disabled when PDMA transfer is done #0 1 Interrupt generator Enabled when PDMA transfer is done #1 TO_IE Time-Out Interrupt Enable 6 1 read-write 0 Time-out interrupt Disabled #0 1 Time-out interrupt Enabled #1 WRA_BCR_IE Wrap Around Byte Count Interrupt Enable\n 2 4 read-write PDMA_ISR2 PDMA_ISR2 PDMA Interrupt Status Register 0x24 read-write n 0x0 0x0 TABORT_IS PDMA Read/Write Target Abort Interrupt Status Flag Note1: This bit is cleared by writing 1 to itself. Note2: The PDMA_ISR [TABORT_IF] indicate bus master received ERROR response or not, if bus master received occur it means that target abort is happened. PDMA controller will stop transfer and respond this event to software then go to IDLE state. When target abort occurred, software must reset PDMA controller, and then transfer those data again. 0 1 read-write 0 No bus ERROR response received #0 1 Bus ERROR response received #1 TD_IS Transfer Done Interrupt Status Flag This bit indicates that PDMA has finished all transfer. Note: This bit is cleared by writing 1 to itself. 1 1 read-write 0 Not finished yet #0 1 Done #1 TO_IS Time-Out Interrupt Status Flag This flag indicated that PDMA has waited peripheral request for a period defined by PDMA_TCR. Note: This bit is cleared by writing 1 to itself. 6 1 read-write 0 No time-out flag #0 1 Time-out flag #1 WRA_BCR_IS Wrap Around Transfer Byte Count Interrupt Status Flag 2 4 read-write PDMA_SAR2 PDMA_SAR2 PDMA Source Address Register 0x4 read-write n 0x0 0x0 PDMA_SAR PDMA Transfer Source Address Register\nThis field indicates a 32-bit source address of PDMA.\nNote: The source address must be word alignment. 0 32 read-write PDMA_TCR2 PDMA_TCR2 PDMA Timer Counter Setting Register 0x28 read-write n 0x0 0x0 PDMA_TCR PDMA Timer Count Setting Register\nEach PDMA controller contains an internal counter. The internal counter starts counting when setting PDMA_CSRx [TO_EN] register, clearing and restart counting when complete each peripheral request service. 0 16 read-write PDMA_CH3 PDMA Register Map PDMA 0x0 0x0 0x10 registers n 0x14 0x18 registers n 0x80 0x4 registers n PDMA_BCR3 PDMA_BCR3 PDMA Transfer Byte Count Register 0xC read-write n 0x0 0x0 PDMA_BCR PDMA Transfer Byte Count Register\nThis field indicates a 16-bit transfer byte count of PDMA. 0 16 read-write PDMA_BUF3 PDMA_BUF3 PDMA Internal Buffer FIFO 0x80 read-only n 0x0 0x0 PDMA_BUF PDMA Internal Buffer FIFO (Read Only)\nEach channel has its own 1 words internal buffer. 0 32 read-only PDMA_CBCR3 PDMA_CBCR3 PDMA Current Transfer Byte Count Register 0x1C read-only n 0x0 0x0 PDMA_CBCR PDMA Current Byte Count Register (Read Only)\nThis field indicates the current remained byte count of PDMA.\nNote: These fields will be changed when PDMA finish data transfer (data transfer to destination address), 0 24 read-only PDMA_CDAR3 PDMA_CDAR3 PDMA Current Destination Address Register 0x18 read-only n 0x0 0x0 PDMA_CDAR PDMA Current Destination Address Register (Read Only)\nThis field indicates the destination address where the PDMA transfer is just occurring. 0 32 read-only PDMA_CSAR3 PDMA_CSAR3 PDMA Current Source Address Register 0x14 read-only n 0x0 0x0 PDMA_CSAR PDMA Current Source Address Register (Read Only)\nThis field indicates the source address where the PDMA transfer is just occurring. 0 32 read-only PDMA_CSR3 PDMA_CSR3 PDMA Control Register 0x0 read-write n 0x0 0x0 APB_TWS Peripheral Transfer Width Selection 19 2 read-write DAD_SEL Transfer Destination Address Direction Selection\n 6 2 read-write MODE_SEL PDMA Mode Select\n 2 2 read-write PDMACEN PDMA Channel Enable Setting this bit to 1 enables PDMA's operation. If this bit is cleared, PDMA will ignore all PDMA request and force Bus Master into IDLE state. Note: SW_RST will clear this bit. 0 1 read-write SAD_SEL Transfer Source Address Direction Selection\n 4 2 read-write SW_RST Software Engine Reset 1 1 read-write 0 No effect #0 1 Reset the internal state machine and pointers. The contents of control register will not be cleared. This bit will be auto cleared after few clock cycles #1 TO_EN Time-out Enable\nThis bit will enable PDMA internal Timer. While Timer is counted to zero, the TO_IS will be set. 12 1 read-write 0 PDMA internal Timer Disabled #0 1 PDMA internal Timer Enabled #1 TRIG_EN TRIG_EN\nNote1: When PDMA transfer completed, this bit will be cleared automatically.\nNote2: If the bus error occurs, all PDMA transfer will be stopped. Software must reset all PDMA channel, and then trig again. 23 1 read-write 0 No effect #0 1 PDMA data read or write transfer Enabled #1 PDMA_DAR3 PDMA_DAR3 PDMA Destination Address Register 0x8 read-write n 0x0 0x0 PDMA_DAR PDMA Transfer Destination Address Register\nThis field indicates a 32-bit destination address of PDMA.\nNote : The destination address must be word alignment 0 32 read-write PDMA_IER3 PDMA_IER3 PDMA Interrupt Enable Register 0x20 -1 read-write n 0x0 0x0 TABORT_IE PDMA Read/Write Target Abort Interrupt Enable 0 1 read-write 0 Target abort interrupt generation Disabled during PDMA transfer #0 1 Target abort interrupt generation Enabled during PDMA transfer #1 TD_IE PDMA Transfer Done Interrupt Enable 1 1 read-write 0 Interrupt generator Disabled when PDMA transfer is done #0 1 Interrupt generator Enabled when PDMA transfer is done #1 TO_IE Time-Out Interrupt Enable 6 1 read-write 0 Time-out interrupt Disabled #0 1 Time-out interrupt Enabled #1 WRA_BCR_IE Wrap Around Byte Count Interrupt Enable\n 2 4 read-write PDMA_ISR3 PDMA_ISR3 PDMA Interrupt Status Register 0x24 read-write n 0x0 0x0 TABORT_IS PDMA Read/Write Target Abort Interrupt Status Flag Note1: This bit is cleared by writing 1 to itself. Note2: The PDMA_ISR [TABORT_IF] indicate bus master received ERROR response or not, if bus master received occur it means that target abort is happened. PDMA controller will stop transfer and respond this event to software then go to IDLE state. When target abort occurred, software must reset PDMA controller, and then transfer those data again. 0 1 read-write 0 No bus ERROR response received #0 1 Bus ERROR response received #1 TD_IS Transfer Done Interrupt Status Flag This bit indicates that PDMA has finished all transfer. Note: This bit is cleared by writing 1 to itself. 1 1 read-write 0 Not finished yet #0 1 Done #1 TO_IS Time-Out Interrupt Status Flag This flag indicated that PDMA has waited peripheral request for a period defined by PDMA_TCR. Note: This bit is cleared by writing 1 to itself. 6 1 read-write 0 No time-out flag #0 1 Time-out flag #1 WRA_BCR_IS Wrap Around Transfer Byte Count Interrupt Status Flag 2 4 read-write PDMA_SAR3 PDMA_SAR3 PDMA Source Address Register 0x4 read-write n 0x0 0x0 PDMA_SAR PDMA Transfer Source Address Register\nThis field indicates a 32-bit source address of PDMA.\nNote: The source address must be word alignment. 0 32 read-write PDMA_TCR3 PDMA_TCR3 PDMA Timer Counter Setting Register 0x28 read-write n 0x0 0x0 PDMA_TCR PDMA Timer Count Setting Register\nEach PDMA controller contains an internal counter. The internal counter starts counting when setting PDMA_CSRx [TO_EN] register, clearing and restart counting when complete each peripheral request service. 0 16 read-write PDMA_CH4 PDMA Register Map PDMA 0x0 0x0 0x10 registers n 0x14 0x18 registers n 0x80 0x4 registers n PDMA_BCR4 PDMA_BCR4 PDMA Transfer Byte Count Register 0xC read-write n 0x0 0x0 PDMA_BCR PDMA Transfer Byte Count Register\nThis field indicates a 16-bit transfer byte count of PDMA. 0 16 read-write PDMA_BUF4 PDMA_BUF4 PDMA Internal Buffer FIFO 0x80 read-only n 0x0 0x0 PDMA_BUF PDMA Internal Buffer FIFO (Read Only)\nEach channel has its own 1 words internal buffer. 0 32 read-only PDMA_CBCR4 PDMA_CBCR4 PDMA Current Transfer Byte Count Register 0x1C read-only n 0x0 0x0 PDMA_CBCR PDMA Current Byte Count Register (Read Only)\nThis field indicates the current remained byte count of PDMA.\nNote: These fields will be changed when PDMA finish data transfer (data transfer to destination address), 0 24 read-only PDMA_CDAR4 PDMA_CDAR4 PDMA Current Destination Address Register 0x18 read-only n 0x0 0x0 PDMA_CDAR PDMA Current Destination Address Register (Read Only)\nThis field indicates the destination address where the PDMA transfer is just occurring. 0 32 read-only PDMA_CSAR4 PDMA_CSAR4 PDMA Current Source Address Register 0x14 read-only n 0x0 0x0 PDMA_CSAR PDMA Current Source Address Register (Read Only)\nThis field indicates the source address where the PDMA transfer is just occurring. 0 32 read-only PDMA_CSR4 PDMA_CSR4 PDMA Control Register 0x0 read-write n 0x0 0x0 APB_TWS Peripheral Transfer Width Selection 19 2 read-write DAD_SEL Transfer Destination Address Direction Selection\n 6 2 read-write MODE_SEL PDMA Mode Select\n 2 2 read-write PDMACEN PDMA Channel Enable Setting this bit to 1 enables PDMA's operation. If this bit is cleared, PDMA will ignore all PDMA request and force Bus Master into IDLE state. Note: SW_RST will clear this bit. 0 1 read-write SAD_SEL Transfer Source Address Direction Selection\n 4 2 read-write SW_RST Software Engine Reset 1 1 read-write 0 No effect #0 1 Reset the internal state machine and pointers. The contents of control register will not be cleared. This bit will be auto cleared after few clock cycles #1 TO_EN Time-out Enable\nThis bit will enable PDMA internal Timer. While Timer is counted to zero, the TO_IS will be set. 12 1 read-write 0 PDMA internal Timer Disabled #0 1 PDMA internal Timer Enabled #1 TRIG_EN TRIG_EN\nNote1: When PDMA transfer completed, this bit will be cleared automatically.\nNote2: If the bus error occurs, all PDMA transfer will be stopped. Software must reset all PDMA channel, and then trig again. 23 1 read-write 0 No effect #0 1 PDMA data read or write transfer Enabled #1 PDMA_DAR4 PDMA_DAR4 PDMA Destination Address Register 0x8 read-write n 0x0 0x0 PDMA_DAR PDMA Transfer Destination Address Register\nThis field indicates a 32-bit destination address of PDMA.\nNote : The destination address must be word alignment 0 32 read-write PDMA_IER4 PDMA_IER4 PDMA Interrupt Enable Register 0x20 -1 read-write n 0x0 0x0 TABORT_IE PDMA Read/Write Target Abort Interrupt Enable 0 1 read-write 0 Target abort interrupt generation Disabled during PDMA transfer #0 1 Target abort interrupt generation Enabled during PDMA transfer #1 TD_IE PDMA Transfer Done Interrupt Enable 1 1 read-write 0 Interrupt generator Disabled when PDMA transfer is done #0 1 Interrupt generator Enabled when PDMA transfer is done #1 TO_IE Time-Out Interrupt Enable 6 1 read-write 0 Time-out interrupt Disabled #0 1 Time-out interrupt Enabled #1 WRA_BCR_IE Wrap Around Byte Count Interrupt Enable\n 2 4 read-write PDMA_ISR4 PDMA_ISR4 PDMA Interrupt Status Register 0x24 read-write n 0x0 0x0 TABORT_IS PDMA Read/Write Target Abort Interrupt Status Flag Note1: This bit is cleared by writing 1 to itself. Note2: The PDMA_ISR [TABORT_IF] indicate bus master received ERROR response or not, if bus master received occur it means that target abort is happened. PDMA controller will stop transfer and respond this event to software then go to IDLE state. When target abort occurred, software must reset PDMA controller, and then transfer those data again. 0 1 read-write 0 No bus ERROR response received #0 1 Bus ERROR response received #1 TD_IS Transfer Done Interrupt Status Flag This bit indicates that PDMA has finished all transfer. Note: This bit is cleared by writing 1 to itself. 1 1 read-write 0 Not finished yet #0 1 Done #1 TO_IS Time-Out Interrupt Status Flag This flag indicated that PDMA has waited peripheral request for a period defined by PDMA_TCR. Note: This bit is cleared by writing 1 to itself. 6 1 read-write 0 No time-out flag #0 1 Time-out flag #1 WRA_BCR_IS Wrap Around Transfer Byte Count Interrupt Status Flag 2 4 read-write PDMA_SAR4 PDMA_SAR4 PDMA Source Address Register 0x4 read-write n 0x0 0x0 PDMA_SAR PDMA Transfer Source Address Register\nThis field indicates a 32-bit source address of PDMA.\nNote: The source address must be word alignment. 0 32 read-write PDMA_TCR4 PDMA_TCR4 PDMA Timer Counter Setting Register 0x28 read-write n 0x0 0x0 PDMA_TCR PDMA Timer Count Setting Register\nEach PDMA controller contains an internal counter. The internal counter starts counting when setting PDMA_CSRx [TO_EN] register, clearing and restart counting when complete each peripheral request service. 0 16 read-write PDMA_CH5 PDMA Register Map PDMA 0x0 0x0 0x10 registers n 0x14 0x18 registers n 0x80 0x4 registers n PDMA_BCR5 PDMA_BCR5 PDMA Transfer Byte Count Register 0xC read-write n 0x0 0x0 PDMA_BCR PDMA Transfer Byte Count Register\nThis field indicates a 16-bit transfer byte count of PDMA. 0 16 read-write PDMA_BUF5 PDMA_BUF5 PDMA Internal Buffer FIFO 0x80 read-only n 0x0 0x0 PDMA_BUF PDMA Internal Buffer FIFO (Read Only)\nEach channel has its own 1 words internal buffer. 0 32 read-only PDMA_CBCR5 PDMA_CBCR5 PDMA Current Transfer Byte Count Register 0x1C read-only n 0x0 0x0 PDMA_CBCR PDMA Current Byte Count Register (Read Only)\nThis field indicates the current remained byte count of PDMA.\nNote: These fields will be changed when PDMA finish data transfer (data transfer to destination address), 0 24 read-only PDMA_CDAR5 PDMA_CDAR5 PDMA Current Destination Address Register 0x18 read-only n 0x0 0x0 PDMA_CDAR PDMA Current Destination Address Register (Read Only)\nThis field indicates the destination address where the PDMA transfer is just occurring. 0 32 read-only PDMA_CSAR5 PDMA_CSAR5 PDMA Current Source Address Register 0x14 read-only n 0x0 0x0 PDMA_CSAR PDMA Current Source Address Register (Read Only)\nThis field indicates the source address where the PDMA transfer is just occurring. 0 32 read-only PDMA_CSR5 PDMA_CSR5 PDMA Control Register 0x0 read-write n 0x0 0x0 APB_TWS Peripheral Transfer Width Selection 19 2 read-write DAD_SEL Transfer Destination Address Direction Selection\n 6 2 read-write MODE_SEL PDMA Mode Select\n 2 2 read-write PDMACEN PDMA Channel Enable Setting this bit to 1 enables PDMA's operation. If this bit is cleared, PDMA will ignore all PDMA request and force Bus Master into IDLE state. Note: SW_RST will clear this bit. 0 1 read-write SAD_SEL Transfer Source Address Direction Selection\n 4 2 read-write SW_RST Software Engine Reset 1 1 read-write 0 No effect #0 1 Reset the internal state machine and pointers. The contents of control register will not be cleared. This bit will be auto cleared after few clock cycles #1 TO_EN Time-out Enable\nThis bit will enable PDMA internal Timer. While Timer is counted to zero, the TO_IS will be set. 12 1 read-write 0 PDMA internal Timer Disabled #0 1 PDMA internal Timer Enabled #1 TRIG_EN TRIG_EN\nNote1: When PDMA transfer completed, this bit will be cleared automatically.\nNote2: If the bus error occurs, all PDMA transfer will be stopped. Software must reset all PDMA channel, and then trig again. 23 1 read-write 0 No effect #0 1 PDMA data read or write transfer Enabled #1 PDMA_DAR5 PDMA_DAR5 PDMA Destination Address Register 0x8 read-write n 0x0 0x0 PDMA_DAR PDMA Transfer Destination Address Register\nThis field indicates a 32-bit destination address of PDMA.\nNote : The destination address must be word alignment 0 32 read-write PDMA_IER5 PDMA_IER5 PDMA Interrupt Enable Register 0x20 -1 read-write n 0x0 0x0 TABORT_IE PDMA Read/Write Target Abort Interrupt Enable 0 1 read-write 0 Target abort interrupt generation Disabled during PDMA transfer #0 1 Target abort interrupt generation Enabled during PDMA transfer #1 TD_IE PDMA Transfer Done Interrupt Enable 1 1 read-write 0 Interrupt generator Disabled when PDMA transfer is done #0 1 Interrupt generator Enabled when PDMA transfer is done #1 TO_IE Time-Out Interrupt Enable 6 1 read-write 0 Time-out interrupt Disabled #0 1 Time-out interrupt Enabled #1 WRA_BCR_IE Wrap Around Byte Count Interrupt Enable\n 2 4 read-write PDMA_ISR5 PDMA_ISR5 PDMA Interrupt Status Register 0x24 read-write n 0x0 0x0 TABORT_IS PDMA Read/Write Target Abort Interrupt Status Flag Note1: This bit is cleared by writing 1 to itself. Note2: The PDMA_ISR [TABORT_IF] indicate bus master received ERROR response or not, if bus master received occur it means that target abort is happened. PDMA controller will stop transfer and respond this event to software then go to IDLE state. When target abort occurred, software must reset PDMA controller, and then transfer those data again. 0 1 read-write 0 No bus ERROR response received #0 1 Bus ERROR response received #1 TD_IS Transfer Done Interrupt Status Flag This bit indicates that PDMA has finished all transfer. Note: This bit is cleared by writing 1 to itself. 1 1 read-write 0 Not finished yet #0 1 Done #1 TO_IS Time-Out Interrupt Status Flag This flag indicated that PDMA has waited peripheral request for a period defined by PDMA_TCR. Note: This bit is cleared by writing 1 to itself. 6 1 read-write 0 No time-out flag #0 1 Time-out flag #1 WRA_BCR_IS Wrap Around Transfer Byte Count Interrupt Status Flag 2 4 read-write PDMA_SAR5 PDMA_SAR5 PDMA Source Address Register 0x4 read-write n 0x0 0x0 PDMA_SAR PDMA Transfer Source Address Register\nThis field indicates a 32-bit source address of PDMA.\nNote: The source address must be word alignment. 0 32 read-write PDMA_TCR5 PDMA_TCR5 PDMA Timer Counter Setting Register 0x28 read-write n 0x0 0x0 PDMA_TCR PDMA Timer Count Setting Register\nEach PDMA controller contains an internal counter. The internal counter starts counting when setting PDMA_CSRx [TO_EN] register, clearing and restart counting when complete each peripheral request service. 0 16 read-write PDMA_CH6 PDMA Register Map PDMA 0x0 0x0 0x10 registers n 0x14 0x18 registers n 0x80 0x4 registers n PDMA_BCR6 PDMA_BCR6 PDMA Transfer Byte Count Register 0xC read-write n 0x0 0x0 PDMA_BCR PDMA Transfer Byte Count Register\nThis field indicates a 16-bit transfer byte count of PDMA. 0 16 read-write PDMA_BUF6 PDMA_BUF6 PDMA Internal Buffer FIFO 0x80 read-only n 0x0 0x0 PDMA_BUF PDMA Internal Buffer FIFO (Read Only)\nEach channel has its own 1 words internal buffer. 0 32 read-only PDMA_CBCR6 PDMA_CBCR6 PDMA Current Transfer Byte Count Register 0x1C read-only n 0x0 0x0 PDMA_CBCR PDMA Current Byte Count Register (Read Only)\nThis field indicates the current remained byte count of PDMA.\nNote: These fields will be changed when PDMA finish data transfer (data transfer to destination address), 0 24 read-only PDMA_CDAR6 PDMA_CDAR6 PDMA Current Destination Address Register 0x18 read-only n 0x0 0x0 PDMA_CDAR PDMA Current Destination Address Register (Read Only)\nThis field indicates the destination address where the PDMA transfer is just occurring. 0 32 read-only PDMA_CSAR6 PDMA_CSAR6 PDMA Current Source Address Register 0x14 read-only n 0x0 0x0 PDMA_CSAR PDMA Current Source Address Register (Read Only)\nThis field indicates the source address where the PDMA transfer is just occurring. 0 32 read-only PDMA_CSR6 PDMA_CSR6 PDMA Control Register 0x0 read-write n 0x0 0x0 APB_TWS Peripheral Transfer Width Selection 19 2 read-write DAD_SEL Transfer Destination Address Direction Selection\n 6 2 read-write MODE_SEL PDMA Mode Select\n 2 2 read-write PDMACEN PDMA Channel Enable Setting this bit to 1 enables PDMA's operation. If this bit is cleared, PDMA will ignore all PDMA request and force Bus Master into IDLE state. Note: SW_RST will clear this bit. 0 1 read-write SAD_SEL Transfer Source Address Direction Selection\n 4 2 read-write SW_RST Software Engine Reset 1 1 read-write 0 No effect #0 1 Reset the internal state machine and pointers. The contents of control register will not be cleared. This bit will be auto cleared after few clock cycles #1 TO_EN Time-out Enable\nThis bit will enable PDMA internal Timer. While Timer is counted to zero, the TO_IS will be set. 12 1 read-write 0 PDMA internal Timer Disabled #0 1 PDMA internal Timer Enabled #1 TRIG_EN TRIG_EN\nNote1: When PDMA transfer completed, this bit will be cleared automatically.\nNote2: If the bus error occurs, all PDMA transfer will be stopped. Software must reset all PDMA channel, and then trig again. 23 1 read-write 0 No effect #0 1 PDMA data read or write transfer Enabled #1 PDMA_DAR6 PDMA_DAR6 PDMA Destination Address Register 0x8 read-write n 0x0 0x0 PDMA_DAR PDMA Transfer Destination Address Register\nThis field indicates a 32-bit destination address of PDMA.\nNote : The destination address must be word alignment 0 32 read-write PDMA_IER6 PDMA_IER6 PDMA Interrupt Enable Register 0x20 -1 read-write n 0x0 0x0 TABORT_IE PDMA Read/Write Target Abort Interrupt Enable 0 1 read-write 0 Target abort interrupt generation Disabled during PDMA transfer #0 1 Target abort interrupt generation Enabled during PDMA transfer #1 TD_IE PDMA Transfer Done Interrupt Enable 1 1 read-write 0 Interrupt generator Disabled when PDMA transfer is done #0 1 Interrupt generator Enabled when PDMA transfer is done #1 TO_IE Time-Out Interrupt Enable 6 1 read-write 0 Time-out interrupt Disabled #0 1 Time-out interrupt Enabled #1 WRA_BCR_IE Wrap Around Byte Count Interrupt Enable\n 2 4 read-write PDMA_ISR6 PDMA_ISR6 PDMA Interrupt Status Register 0x24 read-write n 0x0 0x0 TABORT_IS PDMA Read/Write Target Abort Interrupt Status Flag Note1: This bit is cleared by writing 1 to itself. Note2: The PDMA_ISR [TABORT_IF] indicate bus master received ERROR response or not, if bus master received occur it means that target abort is happened. PDMA controller will stop transfer and respond this event to software then go to IDLE state. When target abort occurred, software must reset PDMA controller, and then transfer those data again. 0 1 read-write 0 No bus ERROR response received #0 1 Bus ERROR response received #1 TD_IS Transfer Done Interrupt Status Flag This bit indicates that PDMA has finished all transfer. Note: This bit is cleared by writing 1 to itself. 1 1 read-write 0 Not finished yet #0 1 Done #1 TO_IS Time-Out Interrupt Status Flag This flag indicated that PDMA has waited peripheral request for a period defined by PDMA_TCR. Note: This bit is cleared by writing 1 to itself. 6 1 read-write 0 No time-out flag #0 1 Time-out flag #1 WRA_BCR_IS Wrap Around Transfer Byte Count Interrupt Status Flag 2 4 read-write PDMA_SAR6 PDMA_SAR6 PDMA Source Address Register 0x4 read-write n 0x0 0x0 PDMA_SAR PDMA Transfer Source Address Register\nThis field indicates a 32-bit source address of PDMA.\nNote: The source address must be word alignment. 0 32 read-write PDMA_TCR6 PDMA_TCR6 PDMA Timer Counter Setting Register 0x28 read-write n 0x0 0x0 PDMA_TCR PDMA Timer Count Setting Register\nEach PDMA controller contains an internal counter. The internal counter starts counting when setting PDMA_CSRx [TO_EN] register, clearing and restart counting when complete each peripheral request service. 0 16 read-write PWM0 PWM Register Map PWM 0x0 0x0 0x18 registers n 0x1C 0x8 registers n 0x28 0x8 registers n 0x34 0x8 registers n 0x40 0x8 registers n 0x54 0x34 registers n PWM_CAPCTL PWM_CAPCTL Capture Control Register 0x54 read-write n 0x0 0x0 CAPCH0EN Capture Channel 0 transition Enable/Disable\nWhen Enabled, Capture latched the PWM-timer value and saved to PWM_CRL0 (Rising latch) and PWM_CFL0 (Falling latch).\nWhen Disabled, Capture does not update PWM_CRL0 and PWM_CFL0, and disable Channel 0 Interrupt. 1 1 read-write 0 Capture function on channel 0 Disabled #0 1 Capture function on channel 0 Enabled #1 CAPCH0PADEN Capture Input Enable Register 2 1 read-write 0 OFF #0 1 ON #1 CAPCH1EN Capture Channel 1 transition Enable/Disable\nWhen Enabled, Capture latched the PMW-counter and saved to PWM_CRL1 (Rising latch) and PWM_CFL1 (Falling latch).\nWhen Disabled, Capture does not update PWM_CRL1 and PWM_CFL1, and disable Channel 1 Interrupt. 9 1 read-write 0 Capture function on channel 1 Disabled #0 1 Capture function on channel 1 Enabled #1 CAPCH1PADEN Capture Input Enable Register 10 1 read-write 0 OFF #0 1 ON #1 CAPCH2EN Capture Channel 2 transition Enable/Disable\nWhen Enabled, Capture latched the PWM-timer value and saved to PWM_CRL2 (Rising latch) and PWM_CFL2 (Falling latch).\nWhen Disabled, Capture does not update PWM_CRL2 and PWM_CFL2, and disable Channel 2 Interrupt. 17 1 read-write 0 Capture function on channel 2 Disabled #0 1 Capture function on channel 2 Enabled #1 CAPCH2PADEN Capture Input Enable Register 18 1 read-write 0 OFF #0 1 ON #1 CAPCH3EN Capture Channel 3 transition Enable/Disable\nWhen Enabled, Capture latched the PMW-timer and saved to PWM_CRL3 (Rising latch) and PWM_CFL3 (Falling latch).\nWhen Disabled, Capture does not update PWM_CRL3 and PWM_CFL3, and disable Channel 3 Interrupt. 25 1 read-write 0 Capture function on channel 3 Disabled #0 1 Capture function on channel 3 Enabled #1 CAPCH3PADEN Capture Input Enable Register 26 1 read-write 0 OFF #0 1 ON #1 CAPRELOADFEN0 Reload CNR0 when CH0 Capture Falling Event Comes 7 1 read-write 0 Falling capture reload for CH0 Disabled #0 1 Falling capture reload for CH0 Enabled #1 CAPRELOADFEN1 Reload CNR1 when CH1 capture falling event coming 15 1 read-write 0 Capture falling reload for CH1 Disabled #0 1 Capture falling reload for CH1 Enabled #1 CAPRELOADFEN2 Reload CNR2 when CH2 capture failing event coming 23 1 read-write 0 Failing capture reload for CH2 Disabled #0 1 Failing capture reload for CH2 Enabled #1 CAPRELOADFEN3 Reload CNR3 when CH3 falling capture Event Comes 31 1 read-write 0 Falling capture reload for CH3 Disabled #0 1 Falling capture reload for CH3 Enabled #1 CAPRELOADREN0 Reload CNR0 when CH0 Capture Rising Event Comes 6 1 read-write 0 Rising capture reload for CH0 Disabled #0 1 Rising capture reload for CH0 Enabled #1 CAPRELOADREN1 Reload CNR1 when CH1 Capture Rising Event Comes 14 1 read-write 0 Rising capture reload for CH1 Disabled #0 1 Rising capture reload for CH1 Enabled #1 CAPRELOADREN2 Reload CNR2 when CH2 capture rising event coming 22 1 read-write 0 Rising capture reload for CH2 Disabled #0 1 Rising capture reload for CH2 Enabled #1 CAPRELOADREN3 Reload CNR3 when CH3 Rising Capture Event Comes 30 1 read-write 0 Rising capture reload for CH3 Disabled #0 1 Rising capture reload for CH3 Enabled #1 CH01CASK Cascade channel 0 and channel 1 PWM timer for capturing usage 13 1 read-write CH0PDMAEN Channel 0 PDMA Enable 3 1 read-write 0 Channel 0 PDMA function Disabled #0 1 Channel 0 PDMA function Enabled for the channel 0 captured data and transfer to memory #1 CH0RFORDER None 12 1 read-write 0 PWM_CFL0 is the first captured data to memory #0 1 PWM_CRL0 is the first captured data to memory #1 CH23CASK Cascade channel 2 and channel 3 PWM counter for capturing usage 29 1 read-write CH2PDMAEN Channel 2 PDMA Enable 19 1 read-write 0 Channel 2 PDMA function Disabled #0 1 Channel 2 PDMA function Enabled for the channel 2 captured data and transfer to memory #1 CH2RFORDER None 28 1 read-write 0 PWM_CFL2 is the first captured data to memory #0 1 PWM_CRL2 is the first captured data to memory #1 INV0 Channel 0 Inverter ON/OFF 0 1 read-write 0 Inverter OFF #0 1 Inverter ON. Reverse the input signal from GPIO before fed to Capture timer #1 INV1 Channel 1 Inverter ON/OFF 8 1 read-write 0 Inverter OFF #0 1 Inverter ON. Reverse the input signal from GPIO before fed to Capture timer #1 INV2 Channel 2 Inverter ON/OFF 16 1 read-write 0 Inverter OFF #0 1 Inverter ON. Reverse the input signal from GPIO before fed to Capture timer #1 INV3 Channel 3 Inverter ON/OFF 24 1 read-write 0 Inverter OFF #0 1 Inverter ON. Reverse the input signal from GPIO before fed to Capture timer #1 PDMACAPMOD0 Select CRL0 or CFL0 for PDMA Transfer 4 2 read-write PDMACAPMOD2 Select CRL2 or CFL2 for PDMA Transfer 20 2 read-write PWM_CAPINTEN PWM_CAPINTEN Capture interrupt enable Register 0x58 read-write n 0x0 0x0 CFL_IE0 Channel 0 Falling Latch Interrupt Enable ON/OFF\nWhen Enabled, if Capture detects Channel 0 has falling transition, Capture issues an Interrupt. 1 1 read-write 0 Falling latch interrupt Disabled #0 1 Falling latch interrupt Enabled #1 CFL_IE1 Channel 1 Falling Latch Interrupt Enable \nWhen Enabled, if Capture detects Channel 1 has falling transition, Capture issues an Interrupt. 9 1 read-write 0 Falling latch interrupt Disabled #0 1 Falling latch interrupt Enabled #1 CFL_IE2 Channel 2 Falling Latch Interrupt Enable ON/OFF\nWhen Enabled, if Capture detects Channel 2 has falling transition, Capture issues an Interrupt. 17 1 read-write 0 Falling latch interrupt Disabled #0 1 Falling latch interrupt Enabled #1 CFL_IE3 Channel 3 Falling Latch Interrupt Enable ON/OFF\nWhen Enabled, if Capture detects Channel 3 has falling transition, Capture issues an Interrupt. 25 1 read-write 0 Falling latch interrupt Disabled #0 1 Falling latch interrupt Enabled #1 CRL_IE0 Channel 0 Rising Latch Interrupt Enable ON/OFF\nWhen Enabled, if Capture detects Channel 0 has rising transition, Capture issues an Interrupt. 0 1 read-write 0 Rising latch interrupt Disabled #0 1 Rising latch interrupt Enabled #1 CRL_IE1 Channel 1 Rising Latch Interrupt Enable \nWhen Enabled, if Capture detects Channel 1 has rising transition, Capture issues an Interrupt. 8 1 read-write 0 Rising latch interrupt Disabled #0 1 Rising latch interrupt Enabled #1 CRL_IE2 Channel 2 Rising Latch Interrupt Enable ON/OFF\nWhen Enabled, if Capture detects Channel 2 has rising transition, Capture issues an Interrupt. 16 1 read-write 0 Rising latch interrupt Disabled #0 1 Rising latch interrupt Enabled #1 CRL_IE3 Channel 3 Rising Latch Interrupt Enable ON/OFF\nWhen Enabled, if Capture detects Channel 3 has rising transition, Capture issues an Interrupt. 24 1 read-write 0 Rising latch interrupt Disabled #0 1 Rising latch interrupt Enabled #1 PWM_CAPINTSTS PWM_CAPINTSTS Capture Interrupt Indication Register 0x5C read-write n 0x0 0x0 CAPIF0 Capture0 Interrupt Indication Flag 0 1 read-write CAPIF1 Capture1 Interrupt Indication Flag 8 1 read-write CAPIF2 Capture2 Interrupt Indication Flag 16 1 read-write CAPIF3 Capture3 Interrupt Indication Flag 24 1 read-write CAPOVF0 Capture Falling Flag Over Run for Channel 0\nThis flag indicate CFL0 update faster than software reading it when it is set. 4 1 read-write CAPOVF1 Capture Falling Flag Over Run for Channel 1\nThis flag indicate CFL1 update faster than software reading it when it is set\nThis bit will be cleared automatically when user clear CFLI1 bit 10 of PWM_CAPINTSTS 12 1 read-write CAPOVF2 Capture Falling Flag Over Run for Channel 2 \nThis flag indicate CFL2 update faster than software reading it when it is set\nThis bit will be cleared automatically when user clear CFLI2 bit 18 of PWM_CAPINTSTS 20 1 read-write CAPOVF3 Capture Falling Flag Over Run for Channel 3 \nThis flag indicate CFL3 update faster than software reading it when it is set\nThis bit will be cleared automatically when user clear CFLI3 bit 26 of PWM_CAPINTSTS 28 1 read-write CAPOVR0 Capture Rising Flag Over Run for Channel 0\nThis flag indicate CRL0 update faster than software reading it when it is set \nThis bit will be cleared automatically when user clears CRLI0 bit 1 of PWM_CAPINTSTS. 3 1 read-write CAPOVR1 Capture Rising Flag Over Run for Channel 1\nThis flag indicate CRL1 update faster than software reading it when it is set \nThis bit will be cleared automatically when user clear CRLI1 bit 9 of PWM_CAPINTSTS 11 1 read-write CAPOVR2 Capture Rising Flag Over Run for Channel 2\nThis flag indicate CRL2 update faster than software reading it when it is set\nThis bit will be cleared automatically when user clear CRLI2 bit 17 of PWM_CAPINTSTS 19 1 read-write CAPOVR3 Capture Rising Flag Over Run for Channel 3\nThis flag indicate CRL3update faster than software reading it when it is set\nThis bit will be cleared automatically when user clear CRLI3 bit 25 of PWM_CAPINTSTS 27 1 read-write CFLI1 PWM_CFL1 Latched Indicator Bit\nWhen input channel 1 has a falling transition, PWM0_CFL1 was latched with the value of PWM down-counter and this bit is set by hardware, software can clear this bit by writing 1 to it. 10 1 read-write CFLI2 PWM_CFL2 Latched Indicator Bit\nWhen input channel 2 has a falling transition, PWM0_CFL2 was latched with the value of PWM down-counter and this bit is set by hardware, software can clear this bit by writing 1 to it. 18 1 read-write CFLI3 PWM_CFL3 Latched Indicator Bit\nWhen input channel 3 has a falling transition, PWM_CFL3 was latched with the value of PWM down-counter and this bit is set by hardware, software can clear this bit by writing 1 to it. 26 1 read-write CFLRI0 PWM_CFL0 Latched Indicator Bit\nWhen input channel 0 has a falling transition, PWM0_CFL0 was latched with the value of PWM down-counter and this bit is set by hardware, software can clear this bit by writing 1 to it. 2 1 read-write CRLI0 PWM_CRL0 Latched Indicator Bit\nWhen input channel 0 has a rising transition, PWM0_CRL0 was latched with the value of PWM down-counter and this bit is set by hardware, software can clear this bit by writing 1 to it. 1 1 read-write CRLI1 PWM_CRL1 Latched Indicator Bit\nWhen input channel 1 has a rising transition, PWM0_CRL1 was latched with the value of PWM down-counter and this bit is set by hardware, software can clear this bit by writing 1 to it. 9 1 read-write CRLI2 PWM_CRL2 Latched Indicator Bit\nWhen input channel 2 has a rising transition, PWM0_CRL2 was latched with the value of PWM down-counter and this bit is set by hardware, software can clear this bit by writing 1 to it. 17 1 read-write CRLI3 PWM_CRL3 Latched Indicator Bit\nWhen input channel 3 has a rising transition, PWM_CRL3 was latched with the value of PWM down-counter and this bit is set by hardware, software can clear this bit by writing 1 to it. 25 1 read-write PWM_CFL0 PWM_CFL0 Capture Falling Latch Register (Channel 0) 0x64 read-only n 0x0 0x0 CFL15_0 Capture Falling Latch Register\nLatch the PWM counter when Channel 01/2/3 has Falling transition. 0 16 read-only CFL31_16 Upper Half Word of 32-bit Capture Data When Cascade Enabled\nWhen cascade is enabled for capture channel 0, 2, the original 16 bit counter extend to 32 bit, and capture result CFL0 and CFL2 are also extend to 32 bit, 16 16 read-only PWM_CFL1 PWM_CFL1 Capture Falling Latch Register (Channel 1) 0x6C read-write n 0x0 0x0 PWM_CFL2 PWM_CFL2 Capture Falling Latch Register (Channel 2) 0x74 read-write n 0x0 0x0 PWM_CFL3 PWM_CFL3 Capture Falling Latch Register (Channel 3) 0x7C read-write n 0x0 0x0 PWM_CLKSEL PWM_CLKSEL PWM Clock Select Register 0x4 read-write n 0x0 0x0 CLKSEL0 Timer 0 Clock Source Selection\nSelect clock input for timer 0.\n(Table is the same as CLKSEL3) 0 3 read-write CLKSEL1 Timer 1 Clock Source Selection\nSelect clock input for timer 1.\n(Table is the same as CLKSEL3) 4 3 read-write CLKSEL2 Timer 2Clock Source Selection\nSelect clock input for timer 2.\n(Table is the same as CLKSEL3) 8 3 read-write CLKSEL3 Timer 3 Clock Source Selection 12 3 read-write PWM_CRL0 PWM_CRL0 Capture Rising Latch Register (Channel 0) 0x60 read-only n 0x0 0x0 CRL15_0 Capture Rising Latch Register\nLatch the PWM counter when Channel 0/1/2/3 has rising transition. 0 16 read-only CRL31_16 Upper Half Word of 32-bit Capture Data when Cascade Enabled\nWhen cascade is enabled for capture channel 0, 2,the original 16 bit counter extend to 32 bit, and capture result CRL0 and CRL2 are also extend to 32 bit, 16 16 read-only PWM_CRL1 PWM_CRL1 Capture Rising Latch Register (Channel 1) 0x68 read-write n 0x0 0x0 PWM_CRL2 PWM_CRL2 Capture Rising Latch Register (Channel 2) 0x70 read-write n 0x0 0x0 PWM_CRL3 PWM_CRL3 Capture Rising Latch Register (Channel 3) 0x78 read-write n 0x0 0x0 PWM_CTL PWM_CTL PWM Control Register 0x8 read-write n 0x0 0x0 CH0EN PWM-Timer 0 Enable/Disable Start Run 0 1 read-write 0 PWM-Timer 0 Running Stopped #0 1 PWM-Timer 0 Start Run Enabled #1 CH0INV PWM-Timer 0 Output Inverter ON/OFF 2 1 read-write 0 Inverter OFF #0 1 Inverter ON #1 CH0MOD PWM-Timer 0 Continuous/One-Shot Mode\nNote: If there is a rising transition at this bit, it will cause CN and CM of PWM0_DUTY0 to be cleared. 3 1 read-write 0 One-Shot Mode #0 1 Continuous Mode #1 CH1EN PWM-Timer 1 Enable/Disable Start Run 8 1 read-write 0 PWM-Timer 1 Running Stopped #0 1 PWM-Timer 1 Start Run Enabled #1 CH1INV PWM-Timer 1 Output Inverter ON/OFF 10 1 read-write 0 Inverter OFF #0 1 Inverter ON #1 CH1MOD PWM-Timer 1 Continuous/One-shot Mode\nNote: If there is a rising transition at this bit, it will cause CN and CM of PWM0_DUTY1 to be cleared. 11 1 read-write 0 One-Shot Mode #0 1 Continuous Mode #1 CH2EN PWM-Timer 2 Enable/Disable Start Run 16 1 read-write 0 PWM-Timer 2 Running Stopped #0 1 PWM-Timer 2 Start Run Enabled #1 CH2INV PWM-Timer 2 Output Inverter ON/OFF 18 1 read-write 0 Inverter OFF #0 1 Inverter ON #1 CH2MOD PWM-Timer 2 Continuous/One-shot Mode\nNote: If there is a rising transition at this bit, it will cause CN and CM of PWM0_DUTY2 be cleared. 19 1 read-write 0 One-Shot Mode #0 1 Continuous Mode #1 CH3EN PWM-Timer 3 Enable/Disable Start Run 24 1 read-write 0 PWM-Timer 3 Running Stopped #0 1 PWM-Timer 3 Start Run Enabled #1 CH3INV PWM-Timer 3 Output Inverter ON/OFF 26 1 read-write 0 Inverter OFF #0 1 Inverter ON #1 CH3MOD PWM-Timer 3 Continuous/One-shot Mode\nNote: If there is a rising transition at this bit, it will cause CN and CM of PWM0_DUTY3 to be cleared. 27 1 read-write 0 One-Shot Mode #0 1 Continuous Mode #1 DZEN01 Dead-Zone 0 Generator Enable/Disable\nNote: When Dead-Zone Generator is enabled, the pair of PWM0 and PWM1 becomes a complementary pair. 4 1 read-write 0 Disabled #0 1 Enabled #1 DZEN23 Dead-Zone 2 Generator Enable/Disable\nNote: When Dead-Zone Generator is enabled, the pair of PWM2 and PWM3 becomes a complementary pair. 5 1 read-write 0 Disabled #0 1 Enabled #1 PWM_DATA0 PWM_DATA0 PWM Data Register 0 0x20 read-only n 0x0 0x0 PWMx_DATAy15_0 PWM Data Register\nUser can monitor PWMx_DATAy to know the current value in 16-bit down count counter. 0 16 read-only PWMx_DATAy30_16 PWM Data Register \nUser can monitor PWMx_DATAy to know the current value in 32-bit down count counter\nNotes:This will be valid only for the corresponding cascade enable .bit is set 16 15 read-only sync Indicate that CNR value is sync to PWM counter\nNote: when the corresponding cascade enable .bit is set is bit will not appear in the corresponding channel 31 1 read-only 0 CNR value is sync to PWM counter #0 1 CNR value is not sync to PWM counter #1 PWM_DATA1 PWM_DATA1 PWM Data Register 1 0x2C read-write n 0x0 0x0 PWM_DATA2 PWM_DATA2 PWM Data Register 2 0x38 read-write n 0x0 0x0 PWM_DATA3 PWM_DATA3 PWM Data Register 3 0x44 read-write n 0x0 0x0 PWM_DUTY0 PWM_DUTY0 PWM Counter/Comparator Register 0 0x1C read-write n 0x0 0x0 CM PWM Comparator Register\nCM determines the PWM duty.\nNote:\n Any write to CM will take effect in next PWM cycle. 16 16 read-write CN PWM Counter/Timer Loaded Value\nCN determines the PWM period.\nNote: \nAny write to CN will take effect in next PWM cycle. 0 16 read-write PWM_DUTY1 PWM_DUTY1 PWM Counter/Comparator Register 1 0x28 read-write n 0x0 0x0 PWM_DUTY2 PWM_DUTY2 PWM Counter/Comparator Register 2 0x34 read-write n 0x0 0x0 PWM_DUTY3 PWM_DUTY3 PWM Counter/Comparator Register 3 0x40 read-write n 0x0 0x0 PWM_INTEN PWM_INTEN PWM Interrupt Enable Register 0xC read-write n 0x0 0x0 TMIE0 PWM Timer 0 Interrupt Enable 0 1 read-write 0 Disabled #0 1 Enabled #1 TMIE1 PWM Timer 1 Interrupt Enable 1 1 read-write 0 Disabled #0 1 Enabled #1 TMIE2 PWM Timer 2 Interrupt Enable 2 1 read-write 0 Disabled #0 1 Enabled #1 TMIE3 PWM Timer 3 Interrupt Enable 3 1 read-write 0 Disabled #0 1 Enabled #1 PWM_INTSTS PWM_INTSTS PWM Interrupt Indication Register 0x10 -1 read-write n 0x0 0x0 Duty0Syncflag Duty0 Synchronize Flag\nNote: software should check this flag when writing duty0, if this flag is set, and user ignore this flag and change duty0, the corresponding CNR and CMR may be wrong for one duty cycle 4 1 read-write 0 Duty0 has been synchronized to ECLK domain #0 1 Duty0 is synchronizing to ECLK domain #1 Duty1Syncflag Duty1 Synchronize Flag\nNote: software should check this flag when writing duty1, if this flag is set, and user ignore this flag and change duty1, the corresponding CNR and CMR may be wrong for one duty cycle 5 1 read-write 0 Duty1 has been synchronized to ECLK domain #0 1 Duty1 is synchronizing to ECLK domain #1 Duty2Syncflag Duty2 Synchronize Flag\nNote: software should check this flag when writing duty2, if this flag is set, and user ignore this flag and change duty2, the corresponding CNR and CMR may be wrong for one duty cycle 6 1 read-write 0 Duty2 has been synchronized to ECLK domain #0 1 Duty2 is synchronizing to ECLK domain #1 Duty3Syncflag Duty3 Synchronize Flag\nNote: software should check this flag when writing duty3, if this flag is set, and user ignore this flag and change duty3, the corresponding CNR and CMR may be wrong for one duty cycle 7 1 read-write 0 Duty3 has been synchronized to ECLK domain #0 1 Duty3 is synchronizing to ECLK domain #1 PresSyncFlag Prescale Synchronize Flag Note: software should check this flag when writing Prescale, if this flag is set, and user ignore this flag and change Prescale, the Prescale may be wrong for one prescale cycle 8 1 read-write 0 Prescale has been synchronized to ECLK domain #0 1 Prescale is synchronizing to ECLK domain #1 TMINT0 PWM Timer 0 Interrupt Flag\nFlag is set by hardware when PWM0 down counter reaches zero, software can clear this bit by writing a one to it. 0 1 read-write TMINT1 PWM Timer 1 Interrupt Flag\nFlag is set by hardware when PWM1 down counter reaches zero, software can clear this bit by writing a one to it. 1 1 read-write TMINT2 PWM Timer 2 Interrupt Flag\nFlag is set by hardware when PWM2 down counter reaches zero, software can clear this bit by writing a one to it. 2 1 read-write TMINT3 PWM Timer 3 Interrupt Flag\nFlag is set by hardware when PWM3 down counter reaches zero, software can clear this bit by writing a one to it. 3 1 read-write PWM_OE PWM_OE PWM Output Enable for PWM0~PWM3 0x14 read-write n 0x0 0x0 CH0_OE PWM CH0 Output Enable Register\nNote: The corresponding GPI/O pin also must be switched to PWM function (refer to GPx_MFP) 0 1 read-write 0 PWM CH0 output to pin Disabled #0 1 PWM CH0 output to pin Enabled #1 CH1_OE PWM CH1 Output Enable Register\nNote: The corresponding GPI/O pin also must be switched to PWM function (refer to GPx_MFP) 1 1 read-write 0 PWM CH1 output to pin Disabled #0 1 PWM CH1 output to pin Enabled #1 CH2_OE PWM CH2 Output Enable Register\nNote: The corresponding GPI/O pin also must be switched to PWM function (refer to GPx_MFP) 2 1 read-write 0 PWM CH2 output to pin Disabled #0 1 PWM CH2 output to pin Enabled #1 CH3_OE PWM CH3 Output Enable Register\nNote: The corresponding GPI/O pin also must be switched to PWM function (refer to GPx_MFP) 3 1 read-write 0 PWM CH3 output to pin Disabled #0 1 PWM CH3 output to pin Enabled #1 PWM_PDMACH0 PWM_PDMACH0 PDMA channel 0 captured data 0x80 read-only n 0x0 0x0 Captureddata15_8 PDMACH0\nWhen CH01CASK is disabled, it is the capturing value(CFL0/CRL0) for channel 0\nWhen CH01CASK is enabled, It is the second byte of 32 bit capturing data for channel 0 8 8 read-only Captureddata23_16 PDMACH0\nWhen CH01CASK is disabled, this byte is 0\nWhen CH01CASK is enabled, It is the third byte of 32 bit capturing data for channel 0 16 8 read-only Captureddata31_24 PDMACH0\nWhen CH01CASK is disabled, this byte is 0\nWhen CH01CASK is enabled, It is the 4th byte of 32 bit capturing data for channel 0 24 8 read-only Captureddata7_0 PDMACH0\nWhen CH01CASK is disabled, it is the capturing value(CFL0/CRL0) for channel 0\nWhen CH01CASK is enabled, It is the for the first byte of 32 bit capturing data for channel 0 0 8 read-only PWM_PDMACH2 PWM_PDMACH2 PDMA channel 2 captured data 0x84 read-only n 0x0 0x0 Captureddata15_8 PDMACH0\nWhen CH23CASK is disabled, it is the capturing value(CFL0/CRL0) for channel 2\nWhen CH23CASK is enabled, It is the second byte of 32 bit capturing data for channel 2 8 8 read-only Captureddata23_16 PDMACH0\nWhen CH23CASK is disabled, this byte is 0\nWhen CH23CASK is enabled, It is the third byte of 32 bit capturing data for channel 2 16 8 read-only Captureddata31_24 PDMACH0\nWhen CH23CASK is disabled, this byte is 0\nWhen CH23CASK is enabled, It is the 4th byte of 32 bit capturing data for channel 2 24 8 read-only Captureddata7_0 PDMACH0\nWhen CH23CASK is disabled, it is the capturing value(CFL0/CRL0) for channel 2\nWhen CH23CASK is enabled, It is the for the first byte of 32 bit capturing data for channel 2 0 8 read-only PWM_PRES PWM_PRES PWM Prescaler Register 0x0 read-write n 0x0 0x0 CP01 Clock Prescaler 0 for PWM Timer 0 1 Clock input is divided by (CP01 + 1) before it is fed to the counter 0 1 0 8 read-write CP23 Clock Prescaler 2 for PWM Timer 2 3 Clock input is divided by (CP23 + 1) before it is fed to the counter 2 3 8 8 read-write DZ01 Dead Zone Interval Register for CH0 and CH1 Pair\nThese 8 bits determine dead zone length.\nThe unit time of dead zone length is received from clock selector 0. 16 8 read-write DZ23 Dead Zone Interval Register for CH2 and CH3 Pair\nThese 8 bits determine dead zone length.\nThe unit time of dead zone length is received from clock selector 2. 24 8 read-write PWM1 PWM Register Map PWM 0x0 0x0 0x18 registers n 0x1C 0x8 registers n 0x28 0x8 registers n 0x34 0x8 registers n 0x40 0x8 registers n 0x54 0x34 registers n PWM_CAPCTL PWM_CAPCTL Capture Control Register 0x54 read-write n 0x0 0x0 CAPCH0EN Capture Channel 0 transition Enable/Disable\nWhen Enabled, Capture latched the PWM-timer value and saved to PWM_CRL0 (Rising latch) and PWM_CFL0 (Falling latch).\nWhen Disabled, Capture does not update PWM_CRL0 and PWM_CFL0, and disable Channel 0 Interrupt. 1 1 read-write 0 Capture function on channel 0 Disabled #0 1 Capture function on channel 0 Enabled #1 CAPCH0PADEN Capture Input Enable Register 2 1 read-write 0 OFF #0 1 ON #1 CAPCH1EN Capture Channel 1 transition Enable/Disable\nWhen Enabled, Capture latched the PMW-counter and saved to PWM_CRL1 (Rising latch) and PWM_CFL1 (Falling latch).\nWhen Disabled, Capture does not update PWM_CRL1 and PWM_CFL1, and disable Channel 1 Interrupt. 9 1 read-write 0 Capture function on channel 1 Disabled #0 1 Capture function on channel 1 Enabled #1 CAPCH1PADEN Capture Input Enable Register 10 1 read-write 0 OFF #0 1 ON #1 CAPCH2EN Capture Channel 2 transition Enable/Disable\nWhen Enabled, Capture latched the PWM-timer value and saved to PWM_CRL2 (Rising latch) and PWM_CFL2 (Falling latch).\nWhen Disabled, Capture does not update PWM_CRL2 and PWM_CFL2, and disable Channel 2 Interrupt. 17 1 read-write 0 Capture function on channel 2 Disabled #0 1 Capture function on channel 2 Enabled #1 CAPCH2PADEN Capture Input Enable Register 18 1 read-write 0 OFF #0 1 ON #1 CAPCH3EN Capture Channel 3 transition Enable/Disable\nWhen Enabled, Capture latched the PMW-timer and saved to PWM_CRL3 (Rising latch) and PWM_CFL3 (Falling latch).\nWhen Disabled, Capture does not update PWM_CRL3 and PWM_CFL3, and disable Channel 3 Interrupt. 25 1 read-write 0 Capture function on channel 3 Disabled #0 1 Capture function on channel 3 Enabled #1 CAPCH3PADEN Capture Input Enable Register 26 1 read-write 0 OFF #0 1 ON #1 CAPRELOADFEN0 Reload CNR0 when CH0 Capture Falling Event Comes 7 1 read-write 0 Falling capture reload for CH0 Disabled #0 1 Falling capture reload for CH0 Enabled #1 CAPRELOADFEN1 Reload CNR1 when CH1 capture falling event coming 15 1 read-write 0 Capture falling reload for CH1 Disabled #0 1 Capture falling reload for CH1 Enabled #1 CAPRELOADFEN2 Reload CNR2 when CH2 capture failing event coming 23 1 read-write 0 Failing capture reload for CH2 Disabled #0 1 Failing capture reload for CH2 Enabled #1 CAPRELOADFEN3 Reload CNR3 when CH3 falling capture Event Comes 31 1 read-write 0 Falling capture reload for CH3 Disabled #0 1 Falling capture reload for CH3 Enabled #1 CAPRELOADREN0 Reload CNR0 when CH0 Capture Rising Event Comes 6 1 read-write 0 Rising capture reload for CH0 Disabled #0 1 Rising capture reload for CH0 Enabled #1 CAPRELOADREN1 Reload CNR1 when CH1 Capture Rising Event Comes 14 1 read-write 0 Rising capture reload for CH1 Disabled #0 1 Rising capture reload for CH1 Enabled #1 CAPRELOADREN2 Reload CNR2 when CH2 capture rising event coming 22 1 read-write 0 Rising capture reload for CH2 Disabled #0 1 Rising capture reload for CH2 Enabled #1 CAPRELOADREN3 Reload CNR3 when CH3 Rising Capture Event Comes 30 1 read-write 0 Rising capture reload for CH3 Disabled #0 1 Rising capture reload for CH3 Enabled #1 CH01CASK Cascade channel 0 and channel 1 PWM timer for capturing usage 13 1 read-write CH0PDMAEN Channel 0 PDMA Enable 3 1 read-write 0 Channel 0 PDMA function Disabled #0 1 Channel 0 PDMA function Enabled for the channel 0 captured data and transfer to memory #1 CH0RFORDER None 12 1 read-write 0 PWM_CFL0 is the first captured data to memory #0 1 PWM_CRL0 is the first captured data to memory #1 CH23CASK Cascade channel 2 and channel 3 PWM counter for capturing usage 29 1 read-write CH2PDMAEN Channel 2 PDMA Enable 19 1 read-write 0 Channel 2 PDMA function Disabled #0 1 Channel 2 PDMA function Enabled for the channel 2 captured data and transfer to memory #1 CH2RFORDER None 28 1 read-write 0 PWM_CFL2 is the first captured data to memory #0 1 PWM_CRL2 is the first captured data to memory #1 INV0 Channel 0 Inverter ON/OFF 0 1 read-write 0 Inverter OFF #0 1 Inverter ON. Reverse the input signal from GPIO before fed to Capture timer #1 INV1 Channel 1 Inverter ON/OFF 8 1 read-write 0 Inverter OFF #0 1 Inverter ON. Reverse the input signal from GPIO before fed to Capture timer #1 INV2 Channel 2 Inverter ON/OFF 16 1 read-write 0 Inverter OFF #0 1 Inverter ON. Reverse the input signal from GPIO before fed to Capture timer #1 INV3 Channel 3 Inverter ON/OFF 24 1 read-write 0 Inverter OFF #0 1 Inverter ON. Reverse the input signal from GPIO before fed to Capture timer #1 PDMACAPMOD0 Select CRL0 or CFL0 for PDMA Transfer 4 2 read-write PDMACAPMOD2 Select CRL2 or CFL2 for PDMA Transfer 20 2 read-write PWM_CAPINTEN PWM_CAPINTEN Capture interrupt enable Register 0x58 read-write n 0x0 0x0 CFL_IE0 Channel 0 Falling Latch Interrupt Enable ON/OFF\nWhen Enabled, if Capture detects Channel 0 has falling transition, Capture issues an Interrupt. 1 1 read-write 0 Falling latch interrupt Disabled #0 1 Falling latch interrupt Enabled #1 CFL_IE1 Channel 1 Falling Latch Interrupt Enable \nWhen Enabled, if Capture detects Channel 1 has falling transition, Capture issues an Interrupt. 9 1 read-write 0 Falling latch interrupt Disabled #0 1 Falling latch interrupt Enabled #1 CFL_IE2 Channel 2 Falling Latch Interrupt Enable ON/OFF\nWhen Enabled, if Capture detects Channel 2 has falling transition, Capture issues an Interrupt. 17 1 read-write 0 Falling latch interrupt Disabled #0 1 Falling latch interrupt Enabled #1 CFL_IE3 Channel 3 Falling Latch Interrupt Enable ON/OFF\nWhen Enabled, if Capture detects Channel 3 has falling transition, Capture issues an Interrupt. 25 1 read-write 0 Falling latch interrupt Disabled #0 1 Falling latch interrupt Enabled #1 CRL_IE0 Channel 0 Rising Latch Interrupt Enable ON/OFF\nWhen Enabled, if Capture detects Channel 0 has rising transition, Capture issues an Interrupt. 0 1 read-write 0 Rising latch interrupt Disabled #0 1 Rising latch interrupt Enabled #1 CRL_IE1 Channel 1 Rising Latch Interrupt Enable \nWhen Enabled, if Capture detects Channel 1 has rising transition, Capture issues an Interrupt. 8 1 read-write 0 Rising latch interrupt Disabled #0 1 Rising latch interrupt Enabled #1 CRL_IE2 Channel 2 Rising Latch Interrupt Enable ON/OFF\nWhen Enabled, if Capture detects Channel 2 has rising transition, Capture issues an Interrupt. 16 1 read-write 0 Rising latch interrupt Disabled #0 1 Rising latch interrupt Enabled #1 CRL_IE3 Channel 3 Rising Latch Interrupt Enable ON/OFF\nWhen Enabled, if Capture detects Channel 3 has rising transition, Capture issues an Interrupt. 24 1 read-write 0 Rising latch interrupt Disabled #0 1 Rising latch interrupt Enabled #1 PWM_CAPINTSTS PWM_CAPINTSTS Capture Interrupt Indication Register 0x5C read-write n 0x0 0x0 CAPIF0 Capture0 Interrupt Indication Flag 0 1 read-write CAPIF1 Capture1 Interrupt Indication Flag 8 1 read-write CAPIF2 Capture2 Interrupt Indication Flag 16 1 read-write CAPIF3 Capture3 Interrupt Indication Flag 24 1 read-write CAPOVF0 Capture Falling Flag Over Run for Channel 0\nThis flag indicate CFL0 update faster than software reading it when it is set. 4 1 read-write CAPOVF1 Capture Falling Flag Over Run for Channel 1\nThis flag indicate CFL1 update faster than software reading it when it is set\nThis bit will be cleared automatically when user clear CFLI1 bit 10 of PWM_CAPINTSTS 12 1 read-write CAPOVF2 Capture Falling Flag Over Run for Channel 2 \nThis flag indicate CFL2 update faster than software reading it when it is set\nThis bit will be cleared automatically when user clear CFLI2 bit 18 of PWM_CAPINTSTS 20 1 read-write CAPOVF3 Capture Falling Flag Over Run for Channel 3 \nThis flag indicate CFL3 update faster than software reading it when it is set\nThis bit will be cleared automatically when user clear CFLI3 bit 26 of PWM_CAPINTSTS 28 1 read-write CAPOVR0 Capture Rising Flag Over Run for Channel 0\nThis flag indicate CRL0 update faster than software reading it when it is set \nThis bit will be cleared automatically when user clears CRLI0 bit 1 of PWM_CAPINTSTS. 3 1 read-write CAPOVR1 Capture Rising Flag Over Run for Channel 1\nThis flag indicate CRL1 update faster than software reading it when it is set \nThis bit will be cleared automatically when user clear CRLI1 bit 9 of PWM_CAPINTSTS 11 1 read-write CAPOVR2 Capture Rising Flag Over Run for Channel 2\nThis flag indicate CRL2 update faster than software reading it when it is set\nThis bit will be cleared automatically when user clear CRLI2 bit 17 of PWM_CAPINTSTS 19 1 read-write CAPOVR3 Capture Rising Flag Over Run for Channel 3\nThis flag indicate CRL3update faster than software reading it when it is set\nThis bit will be cleared automatically when user clear CRLI3 bit 25 of PWM_CAPINTSTS 27 1 read-write CFLI1 PWM_CFL1 Latched Indicator Bit\nWhen input channel 1 has a falling transition, PWM0_CFL1 was latched with the value of PWM down-counter and this bit is set by hardware, software can clear this bit by writing 1 to it. 10 1 read-write CFLI2 PWM_CFL2 Latched Indicator Bit\nWhen input channel 2 has a falling transition, PWM0_CFL2 was latched with the value of PWM down-counter and this bit is set by hardware, software can clear this bit by writing 1 to it. 18 1 read-write CFLI3 PWM_CFL3 Latched Indicator Bit\nWhen input channel 3 has a falling transition, PWM_CFL3 was latched with the value of PWM down-counter and this bit is set by hardware, software can clear this bit by writing 1 to it. 26 1 read-write CFLRI0 PWM_CFL0 Latched Indicator Bit\nWhen input channel 0 has a falling transition, PWM0_CFL0 was latched with the value of PWM down-counter and this bit is set by hardware, software can clear this bit by writing 1 to it. 2 1 read-write CRLI0 PWM_CRL0 Latched Indicator Bit\nWhen input channel 0 has a rising transition, PWM0_CRL0 was latched with the value of PWM down-counter and this bit is set by hardware, software can clear this bit by writing 1 to it. 1 1 read-write CRLI1 PWM_CRL1 Latched Indicator Bit\nWhen input channel 1 has a rising transition, PWM0_CRL1 was latched with the value of PWM down-counter and this bit is set by hardware, software can clear this bit by writing 1 to it. 9 1 read-write CRLI2 PWM_CRL2 Latched Indicator Bit\nWhen input channel 2 has a rising transition, PWM0_CRL2 was latched with the value of PWM down-counter and this bit is set by hardware, software can clear this bit by writing 1 to it. 17 1 read-write CRLI3 PWM_CRL3 Latched Indicator Bit\nWhen input channel 3 has a rising transition, PWM_CRL3 was latched with the value of PWM down-counter and this bit is set by hardware, software can clear this bit by writing 1 to it. 25 1 read-write PWM_CFL0 PWM_CFL0 Capture Falling Latch Register (Channel 0) 0x64 read-only n 0x0 0x0 CFL15_0 Capture Falling Latch Register\nLatch the PWM counter when Channel 01/2/3 has Falling transition. 0 16 read-only CFL31_16 Upper Half Word of 32-bit Capture Data When Cascade Enabled\nWhen cascade is enabled for capture channel 0, 2, the original 16 bit counter extend to 32 bit, and capture result CFL0 and CFL2 are also extend to 32 bit, 16 16 read-only PWM_CFL1 PWM_CFL1 Capture Falling Latch Register (Channel 1) 0x6C read-write n 0x0 0x0 PWM_CFL2 PWM_CFL2 Capture Falling Latch Register (Channel 2) 0x74 read-write n 0x0 0x0 PWM_CFL3 PWM_CFL3 Capture Falling Latch Register (Channel 3) 0x7C read-write n 0x0 0x0 PWM_CLKSEL PWM_CLKSEL PWM Clock Select Register 0x4 read-write n 0x0 0x0 CLKSEL0 Timer 0 Clock Source Selection\nSelect clock input for timer 0.\n(Table is the same as CLKSEL3) 0 3 read-write CLKSEL1 Timer 1 Clock Source Selection\nSelect clock input for timer 1.\n(Table is the same as CLKSEL3) 4 3 read-write CLKSEL2 Timer 2Clock Source Selection\nSelect clock input for timer 2.\n(Table is the same as CLKSEL3) 8 3 read-write CLKSEL3 Timer 3 Clock Source Selection 12 3 read-write PWM_CRL0 PWM_CRL0 Capture Rising Latch Register (Channel 0) 0x60 read-only n 0x0 0x0 CRL15_0 Capture Rising Latch Register\nLatch the PWM counter when Channel 0/1/2/3 has rising transition. 0 16 read-only CRL31_16 Upper Half Word of 32-bit Capture Data when Cascade Enabled\nWhen cascade is enabled for capture channel 0, 2,the original 16 bit counter extend to 32 bit, and capture result CRL0 and CRL2 are also extend to 32 bit, 16 16 read-only PWM_CRL1 PWM_CRL1 Capture Rising Latch Register (Channel 1) 0x68 read-write n 0x0 0x0 PWM_CRL2 PWM_CRL2 Capture Rising Latch Register (Channel 2) 0x70 read-write n 0x0 0x0 PWM_CRL3 PWM_CRL3 Capture Rising Latch Register (Channel 3) 0x78 read-write n 0x0 0x0 PWM_CTL PWM_CTL PWM Control Register 0x8 read-write n 0x0 0x0 CH0EN PWM-Timer 0 Enable/Disable Start Run 0 1 read-write 0 PWM-Timer 0 Running Stopped #0 1 PWM-Timer 0 Start Run Enabled #1 CH0INV PWM-Timer 0 Output Inverter ON/OFF 2 1 read-write 0 Inverter OFF #0 1 Inverter ON #1 CH0MOD PWM-Timer 0 Continuous/One-Shot Mode\nNote: If there is a rising transition at this bit, it will cause CN and CM of PWM0_DUTY0 to be cleared. 3 1 read-write 0 One-Shot Mode #0 1 Continuous Mode #1 CH1EN PWM-Timer 1 Enable/Disable Start Run 8 1 read-write 0 PWM-Timer 1 Running Stopped #0 1 PWM-Timer 1 Start Run Enabled #1 CH1INV PWM-Timer 1 Output Inverter ON/OFF 10 1 read-write 0 Inverter OFF #0 1 Inverter ON #1 CH1MOD PWM-Timer 1 Continuous/One-shot Mode\nNote: If there is a rising transition at this bit, it will cause CN and CM of PWM0_DUTY1 to be cleared. 11 1 read-write 0 One-Shot Mode #0 1 Continuous Mode #1 CH2EN PWM-Timer 2 Enable/Disable Start Run 16 1 read-write 0 PWM-Timer 2 Running Stopped #0 1 PWM-Timer 2 Start Run Enabled #1 CH2INV PWM-Timer 2 Output Inverter ON/OFF 18 1 read-write 0 Inverter OFF #0 1 Inverter ON #1 CH2MOD PWM-Timer 2 Continuous/One-shot Mode\nNote: If there is a rising transition at this bit, it will cause CN and CM of PWM0_DUTY2 be cleared. 19 1 read-write 0 One-Shot Mode #0 1 Continuous Mode #1 CH3EN PWM-Timer 3 Enable/Disable Start Run 24 1 read-write 0 PWM-Timer 3 Running Stopped #0 1 PWM-Timer 3 Start Run Enabled #1 CH3INV PWM-Timer 3 Output Inverter ON/OFF 26 1 read-write 0 Inverter OFF #0 1 Inverter ON #1 CH3MOD PWM-Timer 3 Continuous/One-shot Mode\nNote: If there is a rising transition at this bit, it will cause CN and CM of PWM0_DUTY3 to be cleared. 27 1 read-write 0 One-Shot Mode #0 1 Continuous Mode #1 DZEN01 Dead-Zone 0 Generator Enable/Disable\nNote: When Dead-Zone Generator is enabled, the pair of PWM0 and PWM1 becomes a complementary pair. 4 1 read-write 0 Disabled #0 1 Enabled #1 DZEN23 Dead-Zone 2 Generator Enable/Disable\nNote: When Dead-Zone Generator is enabled, the pair of PWM2 and PWM3 becomes a complementary pair. 5 1 read-write 0 Disabled #0 1 Enabled #1 PWM_DATA0 PWM_DATA0 PWM Data Register 0 0x20 read-only n 0x0 0x0 PWMx_DATAy15_0 PWM Data Register\nUser can monitor PWMx_DATAy to know the current value in 16-bit down count counter. 0 16 read-only PWMx_DATAy30_16 PWM Data Register \nUser can monitor PWMx_DATAy to know the current value in 32-bit down count counter\nNotes:This will be valid only for the corresponding cascade enable .bit is set 16 15 read-only sync Indicate that CNR value is sync to PWM counter\nNote: when the corresponding cascade enable .bit is set is bit will not appear in the corresponding channel 31 1 read-only 0 CNR value is sync to PWM counter #0 1 CNR value is not sync to PWM counter #1 PWM_DATA1 PWM_DATA1 PWM Data Register 1 0x2C read-write n 0x0 0x0 PWM_DATA2 PWM_DATA2 PWM Data Register 2 0x38 read-write n 0x0 0x0 PWM_DATA3 PWM_DATA3 PWM Data Register 3 0x44 read-write n 0x0 0x0 PWM_DUTY0 PWM_DUTY0 PWM Counter/Comparator Register 0 0x1C read-write n 0x0 0x0 CM PWM Comparator Register\nCM determines the PWM duty.\nNote:\n Any write to CM will take effect in next PWM cycle. 16 16 read-write CN PWM Counter/Timer Loaded Value\nCN determines the PWM period.\nNote: \nAny write to CN will take effect in next PWM cycle. 0 16 read-write PWM_DUTY1 PWM_DUTY1 PWM Counter/Comparator Register 1 0x28 read-write n 0x0 0x0 PWM_DUTY2 PWM_DUTY2 PWM Counter/Comparator Register 2 0x34 read-write n 0x0 0x0 PWM_DUTY3 PWM_DUTY3 PWM Counter/Comparator Register 3 0x40 read-write n 0x0 0x0 PWM_INTEN PWM_INTEN PWM Interrupt Enable Register 0xC read-write n 0x0 0x0 TMIE0 PWM Timer 0 Interrupt Enable 0 1 read-write 0 Disabled #0 1 Enabled #1 TMIE1 PWM Timer 1 Interrupt Enable 1 1 read-write 0 Disabled #0 1 Enabled #1 TMIE2 PWM Timer 2 Interrupt Enable 2 1 read-write 0 Disabled #0 1 Enabled #1 TMIE3 PWM Timer 3 Interrupt Enable 3 1 read-write 0 Disabled #0 1 Enabled #1 PWM_INTSTS PWM_INTSTS PWM Interrupt Indication Register 0x10 -1 read-write n 0x0 0x0 Duty0Syncflag Duty0 Synchronize Flag\nNote: software should check this flag when writing duty0, if this flag is set, and user ignore this flag and change duty0, the corresponding CNR and CMR may be wrong for one duty cycle 4 1 read-write 0 Duty0 has been synchronized to ECLK domain #0 1 Duty0 is synchronizing to ECLK domain #1 Duty1Syncflag Duty1 Synchronize Flag\nNote: software should check this flag when writing duty1, if this flag is set, and user ignore this flag and change duty1, the corresponding CNR and CMR may be wrong for one duty cycle 5 1 read-write 0 Duty1 has been synchronized to ECLK domain #0 1 Duty1 is synchronizing to ECLK domain #1 Duty2Syncflag Duty2 Synchronize Flag\nNote: software should check this flag when writing duty2, if this flag is set, and user ignore this flag and change duty2, the corresponding CNR and CMR may be wrong for one duty cycle 6 1 read-write 0 Duty2 has been synchronized to ECLK domain #0 1 Duty2 is synchronizing to ECLK domain #1 Duty3Syncflag Duty3 Synchronize Flag\nNote: software should check this flag when writing duty3, if this flag is set, and user ignore this flag and change duty3, the corresponding CNR and CMR may be wrong for one duty cycle 7 1 read-write 0 Duty3 has been synchronized to ECLK domain #0 1 Duty3 is synchronizing to ECLK domain #1 PresSyncFlag Prescale Synchronize Flag Note: software should check this flag when writing Prescale, if this flag is set, and user ignore this flag and change Prescale, the Prescale may be wrong for one prescale cycle 8 1 read-write 0 Prescale has been synchronized to ECLK domain #0 1 Prescale is synchronizing to ECLK domain #1 TMINT0 PWM Timer 0 Interrupt Flag\nFlag is set by hardware when PWM0 down counter reaches zero, software can clear this bit by writing a one to it. 0 1 read-write TMINT1 PWM Timer 1 Interrupt Flag\nFlag is set by hardware when PWM1 down counter reaches zero, software can clear this bit by writing a one to it. 1 1 read-write TMINT2 PWM Timer 2 Interrupt Flag\nFlag is set by hardware when PWM2 down counter reaches zero, software can clear this bit by writing a one to it. 2 1 read-write TMINT3 PWM Timer 3 Interrupt Flag\nFlag is set by hardware when PWM3 down counter reaches zero, software can clear this bit by writing a one to it. 3 1 read-write PWM_OE PWM_OE PWM Output Enable for PWM0~PWM3 0x14 read-write n 0x0 0x0 CH0_OE PWM CH0 Output Enable Register\nNote: The corresponding GPI/O pin also must be switched to PWM function (refer to GPx_MFP) 0 1 read-write 0 PWM CH0 output to pin Disabled #0 1 PWM CH0 output to pin Enabled #1 CH1_OE PWM CH1 Output Enable Register\nNote: The corresponding GPI/O pin also must be switched to PWM function (refer to GPx_MFP) 1 1 read-write 0 PWM CH1 output to pin Disabled #0 1 PWM CH1 output to pin Enabled #1 CH2_OE PWM CH2 Output Enable Register\nNote: The corresponding GPI/O pin also must be switched to PWM function (refer to GPx_MFP) 2 1 read-write 0 PWM CH2 output to pin Disabled #0 1 PWM CH2 output to pin Enabled #1 CH3_OE PWM CH3 Output Enable Register\nNote: The corresponding GPI/O pin also must be switched to PWM function (refer to GPx_MFP) 3 1 read-write 0 PWM CH3 output to pin Disabled #0 1 PWM CH3 output to pin Enabled #1 PWM_PDMACH0 PWM_PDMACH0 PDMA channel 0 captured data 0x80 read-only n 0x0 0x0 Captureddata15_8 PDMACH0\nWhen CH01CASK is disabled, it is the capturing value(CFL0/CRL0) for channel 0\nWhen CH01CASK is enabled, It is the second byte of 32 bit capturing data for channel 0 8 8 read-only Captureddata23_16 PDMACH0\nWhen CH01CASK is disabled, this byte is 0\nWhen CH01CASK is enabled, It is the third byte of 32 bit capturing data for channel 0 16 8 read-only Captureddata31_24 PDMACH0\nWhen CH01CASK is disabled, this byte is 0\nWhen CH01CASK is enabled, It is the 4th byte of 32 bit capturing data for channel 0 24 8 read-only Captureddata7_0 PDMACH0\nWhen CH01CASK is disabled, it is the capturing value(CFL0/CRL0) for channel 0\nWhen CH01CASK is enabled, It is the for the first byte of 32 bit capturing data for channel 0 0 8 read-only PWM_PDMACH2 PWM_PDMACH2 PDMA channel 2 captured data 0x84 read-only n 0x0 0x0 Captureddata15_8 PDMACH0\nWhen CH23CASK is disabled, it is the capturing value(CFL0/CRL0) for channel 2\nWhen CH23CASK is enabled, It is the second byte of 32 bit capturing data for channel 2 8 8 read-only Captureddata23_16 PDMACH0\nWhen CH23CASK is disabled, this byte is 0\nWhen CH23CASK is enabled, It is the third byte of 32 bit capturing data for channel 2 16 8 read-only Captureddata31_24 PDMACH0\nWhen CH23CASK is disabled, this byte is 0\nWhen CH23CASK is enabled, It is the 4th byte of 32 bit capturing data for channel 2 24 8 read-only Captureddata7_0 PDMACH0\nWhen CH23CASK is disabled, it is the capturing value(CFL0/CRL0) for channel 2\nWhen CH23CASK is enabled, It is the for the first byte of 32 bit capturing data for channel 2 0 8 read-only PWM_PRES PWM_PRES PWM Prescaler Register 0x0 read-write n 0x0 0x0 CP01 Clock Prescaler 0 for PWM Timer 0 1 Clock input is divided by (CP01 + 1) before it is fed to the counter 0 1 0 8 read-write CP23 Clock Prescaler 2 for PWM Timer 2 3 Clock input is divided by (CP23 + 1) before it is fed to the counter 2 3 8 8 read-write DZ01 Dead Zone Interval Register for CH0 and CH1 Pair\nThese 8 bits determine dead zone length.\nThe unit time of dead zone length is received from clock selector 0. 16 8 read-write DZ23 Dead Zone Interval Register for CH2 and CH3 Pair\nThese 8 bits determine dead zone length.\nThe unit time of dead zone length is received from clock selector 2. 24 8 read-write RTC RTC Register Map RTC 0x0 0x0 0x30 registers n 0x3C 0x54 registers n AER RTC_AER RTC Access Enable Register 0x4 read-write n 0x0 0x0 AER RTC Register Access Enable Password (Write Only) 0 16 write-only 4294945125 RTC access Enabled 0xa965 ENF RTC Register Access Enable Flag (Read Only) 16 1 read-only 0 RTC register read/write Disabled #0 1 RTC register read/write Enabled #1 CAR RTC_CAR Calendar Alarm Register 0x20 read-write n 0x0 0x0 _10DAY 10 Day Calendar Digit of Alarm Setting (0~3) 4 2 read-write _10MON 10 Month Calendar Digit of Alarm Setting (0~1) 12 1 read-write _10YEAR 10 Year Calendar Digit of Alarm Setting (0~9) 20 4 read-write _1DAY 1 Day Calendar Digit of Alarm Setting (0~9) 0 4 read-write _1MON 1 Month Calendar Digit of Alarm Setting (0~9) 8 4 read-write _1YEAR 1 Year Calendar Digit of Alarm Setting (0~9) 16 4 read-write CLR RTC_CLR Calendar Loading Register 0x10 -1 read-write n 0x0 0x0 _10DAY 10 Day Calendar Digit (0~3) 4 2 read-write _10MON 10 Month Calendar Digit (0~1) 12 1 read-write _10YEAR 10 Year Calendar Digit (0~9) 20 4 read-write _1DAY 1 Day Calendar Digit (0~9) 0 4 read-write _1MON 1 Month Calendar Digit (0~9) 8 4 read-write _1YEAR 1 Year Calendar Digit (0~9) 16 4 read-write DWR RTC_DWR Day of the Week Register 0x18 -1 read-write n 0x0 0x0 DWR Day of the Week Register 0 3 read-write FCR RTC_FCR RTC Frequency Compensation Register 0x8 -1 read-write n 0x0 0x0 FRACTION Fraction Part\nNote: Digit in FCR must be expressed as hexadecimal number. 0 6 read-write INTEGER Integer Part 8 4 read-write INIR RTC_INIR RTC Initiation Register 0x0 read-write n 0x0 0x0 ACTIVE RTC Active Status (Read Only) 0 1 read-only 0 RTC is at reset state #0 1 RTC is at normal active state #1 INIR RTC Initiation (Write Only) When RTC block is powered on, RTC is at reset state. User has to write a number (0x a5eb1357) to INIR to make RTC leaving reset state. Once the INIR is written as 0xa5eb1357, the RTC will be in un-reset state permanently. The INIR is a write-only field and read value will be always 0 . 0 32 write-only LIR RTC_LIR Leap Year Indicator Register 0x24 read-only n 0x0 0x0 LIR Leap Year Indication REGISTER (Read Only). 0 1 read-only 0 This year is not a leap year #0 1 This year is leap year #1 RIER RTC_RIER RTC Interrupt Enable Register 0x28 read-write n 0x0 0x0 AIER Alarm Interrupt Enable 0 1 read-write 0 RTC Alarm Interrupt is disabled #0 1 RTC Alarm Interrupt is enabled #1 SNOOPIER Snooper Pin Event Detection Interrupt Enable 2 1 read-write 0 Snooper Pin Event Detection Interrupt is disabled #0 1 Snooper Pin Event Detection Interrupt is enabled #1 TIER Time Tick Interrupt and Wake-up by Tick Enable 1 1 read-write 0 RTC Time Tick Interrupt is disabled #0 1 RTC Time Tick Interrupt is enabled #1 RIIR RTC_RIIR RTC Interrupt Indication Register 0x2C read-write n 0x0 0x0 AIS RTC Alarm Interrupt Status RTC unit will set AIF to high once the RTC real time counters TLR and CLR reach the alarm setting time registers TAR and CAR. When this bit is set and AIER is also high, RTC will generate an interrupt to CPU. This bit is cleared by writing 1 to it through software. 0 1 read-write 0 RCT Alarm Interrupt condition never occurred #0 1 RTC Alarm Interrupt is requested if RIER.AIER=1 #1 SNOOPIS Snooper Pin Event Detection Interrupt Status When SNOOPEN is high and an event defined by SNOOPEDGE detected in snooper pin, this flag will be set. While this bit is set and SNOOPIER is also high, RTC will generate an interrupt to CPU. Write 1 to clear this bit to 0 . 2 1 read-write 0 Snooper pin event defined by SNOOPEDGE never detected #0 1 Snooper pin event defined by SNOOPEDGE detected #1 TIS RTC Time Tick Interrupt Status RTC unit will set TIF to high periodically in the period selected by TTR[2:0]. When this bit is set and TIER is also high, RTC will generate an interrupt to CPU. This bit is cleared by writing 1 to it through software. 1 1 read-write 0 RCT Time Tick Interrupt condition never occurred #0 1 RTC Time Tick Interrupt is requested #1 SPR0 RTC_SPR0 RTC Spare Register 0 0x40 read-write n 0x0 0x0 SPARE SPARE\nThis field is used to store back-up information defined by software.\nThis field will be cleared by hardware automatically once a snooper pin event is detected. 0 32 read-write SPR1 RTC_SPR1 RTC Spare Register 1 0x44 read-write n 0x0 0x0 SPR10 RTC_SPR10 RTC Spare Register 10 0x68 read-write n 0x0 0x0 SPR11 RTC_SPR11 RTC Spare Register 11 0x6C read-write n 0x0 0x0 SPR12 RTC_SPR12 RTC Spare Register 12 0x70 read-write n 0x0 0x0 SPR13 RTC_SPR13 RTC Spare Register 13 0x74 read-write n 0x0 0x0 SPR14 RTC_SPR14 RTC Spare Register 14 0x78 read-write n 0x0 0x0 SPR15 RTC_SPR15 RTC Spare Register 15 0x7C read-write n 0x0 0x0 SPR16 RTC_SPR16 RTC Spare Register 16 0x80 read-write n 0x0 0x0 SPR17 RTC_SPR17 RTC Spare Register 17 0x84 read-write n 0x0 0x0 SPR18 RTC_SPR18 RTC Spare Register 18 0x88 read-write n 0x0 0x0 SPR19 RTC_SPR19 RTC Spare Register 19 0x8C read-write n 0x0 0x0 SPR2 RTC_SPR2 RTC Spare Register 2 0x48 read-write n 0x0 0x0 SPR3 RTC_SPR3 RTC Spare Register 3 0x4C read-write n 0x0 0x0 SPR4 RTC_SPR4 RTC Spare Register 4 0x50 read-write n 0x0 0x0 SPR5 RTC_SPR5 RTC Spare Register 5 0x54 read-write n 0x0 0x0 SPR6 RTC_SPR6 RTC Spare Register 6 0x58 read-write n 0x0 0x0 SPR7 RTC_SPR7 RTC Spare Register 7 0x5C read-write n 0x0 0x0 SPR8 RTC_SPR8 RTC Spare Register 8 0x60 read-write n 0x0 0x0 SPR9 RTC_SPR9 RTC Spare Register 9 0x64 read-write n 0x0 0x0 SPRCTL RTC_SPRCTL RTC Spare Functional Control Register 0x3C -1 read-write n 0x0 0x0 SNOOPEDGE Snooper Active Edge Selection\nThis bit defines which edge of snooper pin will generate a snooper pin detected event to clear the 20 spare registers. 1 1 read-write 0 Rising edge of snooper pin generates snooper pin detected event #0 1 Falling edge of snooper pin generates snooper pin detected event #1 SNOOPEN Snooper Pin Event Detection Enable This bit enables the snooper pin event detection. When this bit is set high and an event defined by SNOOPEDGE detected, the 20 spare registers will be cleared to 0 by hardware automatically. And, the SNOOPIF will also be set. In addition, RTC will also generate wake-up event to wake system up. 0 1 read-write 0 Snooper pin event detection function Disabled #0 1 Snooper pin event detection function Enabled #1 SPRRDY SPR Register Ready\nThis bit indicates if the registers SPR0 ~ SPR19 are ready to read.\nAfter CPU writing registers SPR0 ~ SPR19, polling this bit to check if SP0 ~ SPR19 are updated done is necessary.\nThis it is read only and any write to this bit won't take any effect. 7 1 read-write 0 SPR0 ~ SPR19 updating is in progress #0 1 SPR0 ~ SPR19 are updated done and ready to read #1 TAR RTC_TAR Time Alarm Register 0x1C read-write n 0x0 0x0 _10HR 10 Hour Time Digit of Alarm Setting (0~2) 20 2 read-write _10MIN 10 Min Time Digit of Alarm Setting (0~5) 12 3 read-write _10SEC 10 Sec Time Digit of Alarm Setting (0~5) 4 3 read-write _1HR 1 Hour Time Digit of Alarm Setting (0~9) 16 4 read-write _1MIN 1 Min Time Digit of Alarm Setting (0~9) 8 4 read-write _1SEC 1 Sec Time Digit of Alarm Setting (0~9) 0 4 read-write TLR RTC_TLR Time Loading Register 0xC read-write n 0x0 0x0 _10HR 10 Hour Time Digit (0~2) 20 2 read-write _10MIN 10 Min Time Digit (0~5) 12 3 read-write _10SEC 10 Sec Time Digit (0~5) 4 3 read-write _1HR 1 Hour Time Digit (0~9) 16 4 read-write _1MIN 1 Min Time Digit (0~9) 8 4 read-write _1SEC 1 Sec Time Digit (0~9) 0 4 read-write TSSR RTC_TSSR Time Scale Selection Register 0x14 -1 read-write n 0x0 0x0 _24hr_12hr 24-Hour / 12-Hour Mode Selection 0 1 read-write 0 select 12-hour time scale with AM and PM indication #0 1 select 24-hour time scale #1 TTR RTC_TTR RTC Time Tick Register 0x30 read-write n 0x0 0x0 TTR Time Tick Register 0 3 read-write TWKE RTC Timer Wake-up CPU Function Enable Bit\nIf TWKE is set before CPU enters power-down mode, when a RTC Time Tick, CPU will be wakened up by RTC unit.\nNote: Tick timer setting follows the TTR description. 3 1 read-write 0 Time Tick wake-up CPU function Disabled #0 1 Wake-up function Enabled so that CPU can be waken up from Power-down mode by Time Tick #1 SC0 SC Register Map SC 0x0 0x0 0x40 registers n SC_ALTCTL SC_ALTCTL SC Alternate Control Register. 0x8 read-write n 0x0 0x0 ACT_EN Activation Sequence Generator Enable This bit enables SC controller to initiate the card by activation sequence Note1: When the activation sequence completed, this bit will be cleared automatically and the SC_IS [INIT_IS] will be set to 1 . Note2: This field will be cleared by TX_RST and RX_RST, so don't fill this bit, TX_RST, and RX_RST at the same time. Note3: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 3 1 read-write 0 No effect #0 1 Activation sequence generator Enabled #1 DACT_EN Deactivation Sequence Generator Enable This bit enables SC controller to initiate the card by deactivation sequence Note1: When the deactivation sequence completed, this bit will be cleared automatically and the SC_ISR [INIT_IS] will be set to 1 . Note2: This field will be cleared by TX_RST and RX_RST. So don't fill this bit, TX_RST, and RX_RST at the same time. Note3: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 2 1 read-write 0 No effect #0 1 Deactivation sequence generator Enabled #1 INIT_SEL Initial Timing Selection 8 2 read-write RX_BGT_EN Receiver Block Guard Time Function Enable 12 1 read-write 0 Receiver block guard time function Disabled #0 1 Receiver block guard time function Enabled #1 RX_RST RX Software Reset\nWhen RX_RST is set, all the bytes in the receiver buffer and RX internal state machine will be cleared.\nNote: This bit will be auto cleared and needs at least 3 SC engine clock cycles. 1 1 read-write 0 No effect #0 1 Reset the RX internal state machine and pointers #1 TMR0_ATV Internal Timer0 Active State (Read Only)\nThis bit indicates the timer counter status of timer0. 13 1 read-only 0 Timer0 is not active #0 1 Timer0 is active #1 TMR0_SEN Internal Timer0 Start Enable This bit enables Timer0 to start counting. Software can fill 0 to stop it and set 1 to reload and count. Note3: This field will be cleared by TX_RST and RX_RST. So don't fill this bit, TX_RST and RX_RST at the same time. Note4: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 5 1 read-write 0 Stops counting #0 1 Starts counting #1 TMR1_ATV Internal Timer1 Active State (Read Only)\nThis bit indicates the timer counter status of timer1. 14 1 read-only 0 Timer1 is not active #0 1 Timer1 is active #1 TMR1_SEN Internal Timer1 Start Enable This bit enables Timer 1 to start counting. Software can fill 0 to stop it and set 1 to reload and count. Note3: This field will be cleared by TX_RST and RX_RST, so don't fill this bit, TX_RST, and RX_RST at the same time. Note4: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 6 1 read-write 0 Stops counting #0 1 Starts counting #1 TMR2_ATV Internal Timer2 Active State (Read Only)\nThis bit indicates the timer counter status of timer2. 15 1 read-only 0 Timer2 is not active #0 1 Timer2 is active #1 TMR2_SEN Internal Timer2 Start Enable This bit enables Timer2 to start counting. Software can fill 0 to stop it and set 1 to reload and count. Note3: This field will be cleared by TX_RST and RX_RST. So don't fill this bit, TX_RST, and RX_RST at the same time. Note4: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 7 1 read-write 0 Stops counting #0 1 Starts counting #1 TX_RST TX Software Reset\nWhen TX_RST is set, all the bytes in the transmit buffer and TX internal state machine will be cleared.\nNote: This bit will be auto cleared and needs at least 3 SC engine clock cycles. 0 1 read-write 0 No effect #0 1 Reset the TX internal state machine and pointers #1 WARST_EN Warm Reset Sequence Generator Enable This bit enables SC controller to initiate the card by warm reset sequence Note1: When the warm reset sequence completed, this bit will be cleared automatically and the SC_ISR [INIT_IS] will be set to 1 . Note2: This field will be cleared by TX_RST and RX_RST, so don't fill this bit, TX_RST, and RX_RST at the same time. Note3: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 4 1 read-write 0 No effect #0 1 Warm reset sequence generator Enabled #1 SC_CTL SC_CTL SC Control Register. 0x4 read-write n 0x0 0x0 AUTO_CON_EN Auto Convention Enable 3 1 read-write 0 Auto-convention Disabled #0 1 Auto-convention Enabled. When hardware receives TS in answer to reset state and the TS is direct convention, CON_SEL will be set to 00 automatically, otherwise if the TS is inverse convention, CON_SEL will be set to 11 #1 BGT Block Guard Time (BGT)\nIn TX mode, hardware will auto hold off first character until BGT has elapsed regardless of the TX data.\n\nIn RX mode, software can enable SC_ALTCTL [RX_BGT_EN] to detect the first coming character timing. If the incoming data timing less than BGT, an interrupt will be generated.\n\nNote: The real block guard time is BGT + 1. 8 5 read-write CD_DEB_SEL Card Detect De-Bounce Select Register 24 2 read-write CON_SEL Convention Selection 4 2 read-write DIS_RX RX Transition Disable 1 1 read-write 0 Receiver Enabled #0 1 Receiver Disabled #1 DIS_TX TX Transition Disable 2 1 read-write 0 Transceiver Enabled #0 1 Transceiver Disabled #1 RX_ERETRY RX Error Retry Register\nThis field indicates the maximum number of receiver retries that are allowed when parity error has occurred.\nNote1: The real maximum retry number is RX_ERETRY + 1, so 8 is the maximum retry number.\nNote2: This field can not be changed when RX_ERETRY_EN enabled. The change flow is to disable RX_ETRTRY_EN first and then fill new retry value. 16 3 read-write RX_ERETRY_EN RX Error Retry Enable Register\nThis bit enables receiver retry function when parity error has occurred.\nNote: User must fill RX_ERETRY value before enabling this bit. 19 1 read-write 0 RX error retry function Disabled #0 1 RX error retry function Enabled #1 RX_FTRI_LEV RX Buffer Trigger Level 6 2 read-write SC_CEN SC Engine Enable Set this bit to 1 to enable SC operation. If this bit is cleared, SC will force all transition to IDLE state. 0 1 read-write SLEN Stop Bit Length\nThis field indicates the length of stop bit.\nNote: The default stop bit length is 2. 15 1 read-write 0 The stop bit length is 2 ETU #0 1 The stop bit length is 1 ETU #1 TMR_SEL Timer Selection 13 2 read-write TX_ERETRY TX Error Retry Register\nThis field indicates the maximum number of transmitter retries that are allowed when parity error has occurred.\nNote1: The real retry number is TX_ERETRY + 1, so 8 is the maximum retry number.\nNote2: This field can not be changed when TX_ERETRY_EN enabled. The change flow is to disable TX_ETRTRY_EN first and then fill new retry value. 20 3 read-write TX_ERETRY_EN TX Error Retry Enable Register\nThis bit enables transmitter retry function when parity error has occurred.\nNote: User must fill TX_ERETRY value before enabling this bit. 23 1 read-write 0 TX error retry function Disabled #0 1 TX error retry function Enabled #1 SC_EGTR SC_EGTR SC Extend Guard Time Register. 0xC read-write n 0x0 0x0 EGT Extended Guard Time\nThis field indicates the extended guard timer value.\n\nNote: The counter is ETU based and the real extended guard time is EGT. 0 8 read-write SC_ETUCR SC_ETUCR SC ETU Control Register. 0x14 -1 read-write n 0x0 0x0 COMPEN_EN Compensation Mode Enable\nThis bit enables clock compensation function. When this bit enabled, hardware will alternate between n clock cycles and (n-1) clock cycles, where n is the value to be written into the ETU_RDIV register. 15 1 read-write 0 Compensation function Disabled #0 1 Compensation function Enabled #1 ETU_RDIV ETU Rate Divider\nThe field indicates the clock rate divider.\nThe real ETU is ETU_RDIV + 1.\nNote1: Software can configure this field, but this field must be greater than 0x04.\nNote2: Software can configure this field, but if the error rate is equal to 2%, this field must be greater than 0x040. 0 12 read-write SC_IER SC_IER SC Interrupt Enable Register. 0x18 read-write n 0x0 0x0 ACON_ERR_IE Auto convention Error Interrupt Enable \nThis field is used for auto convention error interrupt enable. 10 1 read-write 0 INT_ACON_ERR Disabled #0 1 INT_ACON_ERR Enabled #1 BGT_IE Block Guard Time Interrupt Enable\nThis field is used for block guard time interrupt enable. 6 1 read-write 0 INT_BGT Disabled #0 1 INT_BGT Enabled #1 CD_IE Card Detect Interrupt Enable\nThis field is used for card detect interrupt enable. The card detect status register is SC_PINCSR [CD_CH] and SC_PINCSR[CD_CL]. 7 1 read-write 0 INT_CD Disabled #0 1 INT_CD Enabled #1 COMPEN_EN Compensation Mode Enable\nThis bit enables clock compensation function. When this bit enabled, hardware will alternate between n clock cycles and (n-1) clock cycles, where n is the value to be written into the ETU_RDIV register. 15 1 read-write 0 Compensation function Disabled #0 1 Compensation function Enabled #1 INIT_IE Initial End Interrupt Enable\nThis field is used for activation (SC_ALTCTL [ACT_EN]), deactivation (SC_ALTCTL [DACT_EN]) and warm reset (SC_ALTCTL [WARST_EN]) sequence interrupt enable. 8 1 read-write 0 INT_INIT Disabled #0 1 INT_INIT Enabled #1 RDA_IE Receive Data Reach Interrupt Enable\nThis field is used for received data reaching trigger level (SC_CTL [RX_FTRI_LEV]) interrupt enable. 0 1 read-write 0 INT_RDR Disabled #0 1 INT_RDR Enabled #1 RTMR_IE Receiver Buffer Time-Out Interrupt Enable \nThis field is used for receiver buffer time-out interrupt enable. 9 1 read-write 0 INT_RTMR Disabled #0 1 INT_RTMR Enabled #1 TBE_IE Transmit Buffer Empty Interrupt Enable\nThis field is used for transmit buffer empty interrupt enable. 1 1 read-write 0 INT_THRE Disabled #0 1 INT_THRE Enabled #1 TERR_IE Transfer Error Interrupt Enable This field is used for transfer error interrupt enable. The transfer error states is at SC_TRSR register which includes receiver break error (RX_EBR_F), frame error (RX_EFR_F), parity error (RX_EPA_F), receiver buffer overflow error (RX_OVER_F), transmit buffer overflow error (TX_OVER_F), receiver retry over limit error (RX_OVER_ERETRY) and transmitter retry over limit error (TX_OVER_ERETRY). 2 1 read-write 0 INT_TERR Disabled #0 1 INT_TERR Enabled #1 TMR0_IE Timer0 Interrupt Enable\nThis field is used for TMR0 interrupt enable. 3 1 read-write 0 INT_TMR0 Disabled #0 1 INT_TMR0 Enabled #1 TMR1_IE Timer1 Interrupt Enable\nThis field is used for TMR1 interrupt enable. 4 1 read-write 0 INT_TMR1 Disabled #0 1 INT_TMR1 Enabled #1 TMR2_IE Timer2 Interrupt Enable\nThis field is used for TMR2 interrupt enable. 5 1 read-write 0 INT_TMR2 Disabled #0 1 INT_TMR2 Enabled #1 SC_ISR SC_ISR SC Interrupt Status Register. 0x1C -1 read-write n 0x0 0x0 ACON_ERR_IS Auto Convention Error Interrupt Status Flag (Read Only) This field indicates auto convention sequence error. If the received TS at ATR state is not 0x3B or 0x3F, this bit will be set. Note: This bit is read only, but can be cleared by writing 1 to it. 10 1 read-only BGT_IS Block Guard Time Interrupt Status Flag (Read Only) This field is used for block guard time interrupt status flag. Note: This bit is read only, but it can be cleared by writing 1 to it. 6 1 read-only CD_IS Card Detect Interrupt Status Flag (Read Only) This field is used for card detect interrupt status flag. The card detect status register is SC_PINCSR [CD_INS_F] and SC_PINCSR [CD_REM_F]. Note: This field is the status flag of SC_PINCSR [CD_INS_F] or SC_PINCSR [CD_REM_F]. So if software wants to clear this bit, software must write 1 to this field. 7 1 read-only INIT_IS Initial End Interrupt Status Flag (Read Only) This field is used for activation (SC_ALTCTL [ACT_EN]), deactivation (SC_ALTCTL [DACT_EN]) and warm reset (SC_ALTCTL [WARST_EN]) sequence interrupt status flag. Note: This bit is read only, but it can be cleared by writing 1 to it. 8 1 read-only RDA_IS Receive Data Reach Interrupt Status Flag (Read Only)\nThis field is used for received data reaching trigger level (SC_CTL [RX_FTRI_LEV]) interrupt status flag.\nNote: This field is the status flag of received data reaching SC_CTL [RX_FTRI_LEV]. If software reads data from SC_RBR and receiver pointer is less than SC_CTL [RX_FTRI_LEV], this bit will be cleared automatically. 0 1 read-only RTMR_IS Receiver buffer Time-Out Interrupt Status Flag (Read Only)\nThis field is used for receiver buffer time-out interrupt status flag.\nNote: This field is the status flag of receiver buffer time-out state. If software wants to clear this bit, software must read the receiver buffer remaining data by reading SC_RBR register, 9 1 read-only TBE_IS Transmit Buffer Empty Interrupt Status Flag (Read Only) This field is used for transmit buffer empty interrupt status flag. This bit is different with SC_TRSR [TX_EMPTY_F] flag and SC_TRSR [TX_ATV] flag The TX_EMPTY_F will be set when the last byte data be read to shift register and TX_ATV flag indicates the transmitter is in active or not (the last data has been transmitted or not), but the TBE_IS may be set when the last byte data be read to shift register or the last data has been transmitted. When this bit assert, software can write 1~4 byte data to SC_THR register. Note: If software wants to clear this bit, software must write data to SC_THR register and then this bit will be cleared automatically. 1 1 read-only TERR_IS Transfer Error Interrupt Status Flag (Read Only) This field is used for transfer error interrupt status flag. The transfer error states is at SC_TRSR register which includes receiver break error (RX_EBR_F), frame error (RX_EFR_F), parity error (RX_EPA_F) and receiver buffer overflow error (RX_OVER_F), transmit buffer overflow error (TX_OVER_F), receiver retry over limit error (RX_OVER_ERETRY) and transmitter retry over limit error (TX_OVER_ERETRY). Note: This field is the status flag of SC_TRSR [RX_EBR_F], SC_TRSR [RX_EFR_F], SC_TRSR [RX_EPA_F], SC_TRSR [RX_OVER_F], SC_TRSR [TX_OVER_F], SC_TRSR [RX_OVER_ERETRY] or SC_TRSR [TX_OVER_ERETRY]. So if software wants to clear this bit, software must write 1 to each field. 2 1 read-only TMR0_IS Timer0 Interrupt Status Flag (Read Only) This field is used for TMR0 interrupt status flag. Note: This bit is read only, but it can be cleared by writing 1 to it. 3 1 read-only TMR1_IS Timer1 Interrupt Status Flag (Read Only) This field is used for TMR1 interrupt status flag. Note: This bit is read only, but it can be cleared by writing 1 to it. 4 1 read-only TMR2_IS Timer2 Interrupt Status Flag (Read Only) This field is used for TMR2 interrupt status flag. Note: This bit is read only, but it can be cleared by writing 1 to it. 5 1 read-only SC_PINCSR SC_PINCSR SC Pin Control State Register. 0x24 read-write n 0x0 0x0 ADAC_CD_EN Auto Deactivation When Card Removal\nNote1: When the card is removal, hardware will stop any process and then do deactivation sequence (if this bit be setting). If this process completes. Hardware will generate an interrupt INT_INIT to CPU. 7 1 read-write 0 Auto deactivation Disabled when hardware detected the card is removal #0 1 Auto deactivation Enabled when hardware detected the card is removal #1 CD_INS_F Card Detect Insert Status Of SC_CD Pin (Read Only) This bit is set whenever card has been inserted. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: Card detect engine will start after SC_CTL [SC_CEN] set. 3 1 read-only 0 No effect #0 1 Card insert #1 CD_LEV Card Detect Level\n\nNote: Software must select card detect level before Smart Card engine enable 10 1 read-write 0 When hardware detects the card detect pin from high to low, it indicates a card is detected #0 1 When hardware detects the card detect pin from low to high, it indicates a card is detected #1 CD_PIN_ST Card Detect Status Of SC_CD Pin Status (Read Only)\nThis bit is the pin status flag of SC_CD 4 1 read-only 0 SC_CD pin state at low #0 1 SC_CD pin state at high #1 CD_REM_F Card Detect Removal Status Of SC_CD Pin (Read Only) This bit is set whenever card has been removal. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: Card detect engine will start after SC_CTL [SC_CEN] set. 2 1 read-only 0 No effect #0 1 Card Removal #1 CLK_KEEP SC Clock Enable \nNote: When operation at activation, warm reset or deactivation mode, this bit will be changed automatically. So don't fill this field When operating in these modes. 6 1 read-write 0 SC clock generation Disabled #0 1 SC clock always keeps free running #1 CLK_STOP_LEV SC Clock Stop Level\nThis field indicates the clock polarity control in clock stop mode. 5 1 read-write 0 SC_CLK stopped in low level #0 1 SC_CLK stopped in high level #1 POW_EN SC_POW_EN Pin Signal\nThis bit is the pin status of SC_POW_EN but user can drive SC_POW_EN pin to high or low by setting this bit.\nNote: When operation at activation, warm reset or deactivation mode, this bit will be changed automatically. So don't fill this field When operating in these modes. 0 1 read-write 0 Drive SC_POW_EN pin to low #0 1 Drive SC_POW_EN pin to high #1 SC_DATA_I_ST SC Data Input Pin Status (Read Only)\nThis bit is the pin status of SC_DATA_I 16 1 read-only 0 The SC_DATA_I pin is low #0 1 The SC_DATA_I pin is high #1 SC_DATA_O Output of SC Data Pin This bit is the pin status of SC data output but user can drive this pin to high or low by setting this bit. Note: When SC is at activation, warm re set or deactivation mode, this bit will be changed automatically. So don't fill this field when SC is in these modes. 9 1 read-write 0 Drive SC data output pin to low #0 1 Drive SC data output pin to high #1 SC_OEN_ST SC Data Pin Output Enable Status (Read Only) 8 1 read-only 0 SC data output enable pin status is at low #0 1 SC data output enable pin status is at high #1 SC_RST SC_RST Pin Signal\nThis bit is the pin status of SC_RST but user can drive SC_RST pin to high or low by setting this bit.\nNote: When operation at activation, warm reset or deactivation mode, this bit will be changed automatically. So don't fill this field When operating in these modes. 1 1 read-write 0 Drive SC_RST pin to low #0 1 Drive SC_RST pin to high #1 SC_RBR SC_RBR SC Receiving Buffer Register (Read Only). 0x0 read-only n 0x0 0x0 RBR Receive Buffer Register\nBy reading this register, the SC will return an 8-bit received data. 0 8 read-only SC_RFTMR SC_RFTMR SC Receive Buffer Time-Out Register. 0x10 read-write n 0x0 0x0 RFTM SC Receiver Buffer Time-Out Register (ETU Based) The time-out counter resets and starts counting whenever the RX buffer received a new data word. Once the counter decrease to 1 and no new data is received or CPU does not read data by reading SC_RBR register, a receiver time-out interrupt INT_RTMR will be generated(if SC_IER[RTMR_IE] is high). Note1: The counter is ETU based and the real count value is RFTM + 1 Note2: Fill all 0 to this field to disable this function. 0 9 read-write SC_TDRA SC_TDRA SC Timer Current Data Register A. 0x38 -1 read-only n 0x0 0x0 TDR0 Timer0 Current Data Register (Read Only)\nThis field indicates the current count values of timer0. 0 24 read-only SC_TDRB SC_TDRB SC Timer Current Data Register B. 0x3C -1 read-only n 0x0 0x0 TDR1 Timer1 Current Data Register (Read Only)\nThis field indicates the current count values of timer1. 0 8 read-only TDR2 Timer2 Current Data Register (Read Only)\nThis field indicates the current count values of timer2. 8 8 read-only SC_THR SC_THR SC Transmit Holding Register. SC_RBR 0x0 write-only n 0x0 0x0 THR Transmit Holding Register\nBy writing to this register, the SC will send out an 8-bit data.\nNote: If SC_CTL [SC_CEN] not enabled, this register can not be programmed. 0 8 write-only SC_TMR0 SC_TMR0 SC Internal Timer Control Register 0. 0x28 read-write n 0x0 0x0 CNT Timer 0 Counter Value Register (ETU Base)\nThis field indicates the internal timer operation values. 0 24 read-write MODE Timer 0 Operation Mode Selection 24 4 read-write SC_TMR1 SC_TMR1 SC Internal Timer Control Register 1. 0x2C read-write n 0x0 0x0 CNT Timer 1 Counter Value Register (ETU Base)\nThis field indicates the internal timer operation values. 0 8 read-write MODE Timer 1 Operation Mode Selection 24 4 read-write SC_TMR2 SC_TMR2 SC Internal Timer Control Register 2. 0x30 read-write n 0x0 0x0 CNT Timer 2 Counter Value Register (ETU Base)\nThis field indicates the internal timer operation values. 0 8 read-write MODE Timer 2 Operation Mode Selection 24 4 read-write SC_TRSR SC_TRSR SC Transfer Status Register. 0x20 -1 read-write n 0x0 0x0 RX_ATV Receiver In Active Status Flag (Read Only)\nThis bit is set by hardware when RX transfer is in active.\nThis bit is cleared automatically when RX transfer is finished. 23 1 read-only RX_EBR_F Receiver Break Error Status Flag (Read Only) This bit is set to a logic 1 whenever the received data input (RX) held in the spacing state (logic 0 ) is longer than a full word transmission time (that is, the total time of start bit + data bits + parity + stop bits). . Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: If CPU sets receiver retries function by setting SC_CTL [RX_ERETRY_EN] register, hardware will not set this flag. 6 1 read-only RX_EFR_F Receiver Frame Error Status Flag (Read Only) This bit is set to logic 1 whenever the received character does not have a valid stop bit (that is, the stop bit following the last data bit or parity bit is detected as a logic 0 ). Note1: This bit is read only, but can be cleared by writing 1 to it. Note2: If CPI sets receiver retries function by setting SC_CTL [RX_ERETRY_EN] register, hardware will not set this flag. 5 1 read-only RX_EMPTY_F Receiver Buffer Empty Status Flag(Read Only)\nThis bit indicates RX buffer empty or not.\nWhen the last byte of RX buffer has been read by CPU, hardware sets this bit high. It will be cleared when SC receives any new data. 1 1 read-only RX_EPA_F Receiver Parity Error Status Flag (Read Only) This bit is set to logic 1 whenever the received character does not have a valid parity bit . Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: If CPU sets receiver retries function by setting SC_CTL [RX_ERETRY_EN] register, hardware will not set this flag. 4 1 read-only RX_ERETRY_F Receiver Retry Error (Read Only) This bit is set by hardware when RX has any error and retries transfer. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2 This bit is a flag and can not generate any interrupt to CPU. Note3: If CPU enables receiver retry function by setting SC_CTL [RX_ERETRY_EN] register, the RX_EPA_F flag will be ignored (hardware will not set RX_EPA_F). 21 1 read-only RX_FULL_F Receiver Buffer Full Status Flag (Read Only)\nThis bit indicates RX buffer full or not.\nThis bit is set when RX pointer is equal to 4, otherwise it is cleared by hardware. 2 1 read-only RX_OVER_ERETRY Receiver Over Retry Error (Read Only) This bit is set by hardware when RX transfer error retry over retry number limit. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: If CPU enables receiver retries function by setting SC_CTL [RX_ERETRY_EN] register, the RX_EPA_F flag will be ignored (hardware will not set RX_EPA_F). 22 1 read-only RX_OVER_F RX Overflow Error Status Flag (Read Only) This bit is set when RX buffer overflow. If the number of received bytes is greater than RX Buffer (SC_RBR) size, 4 bytes of SC, this bit will be set. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: The overwrite data will be ignored. 0 1 read-only RX_POINT_F Receiver Buffer Pointer Status Flag (Read Only)\nThis field indicates the RX buffer pointer status flag. When SC receives one byte from external device, RX_POINT_F increases one. When one byte of RX buffer is read by CPU, RX_POINT_F decreases one. 16 3 read-only TX_ATV Transmit In Active Status Flag (Read Only)\nThis bit is set by hardware when TX transfer is in active or the last byte transmission has not completed.\nThis bit is cleared automatically when TX transfer is finished and the STOP bit (include guard time) has been transmitted. 31 1 read-only TX_EMPTY_F Transmit buffer Empty Status Flag (Read Only)\nThis bit indicates TX buffer empty or not.\nWhen the last byte of TX buffer has been transferred to Transmitter Shift Register, hardware sets this bit high. It will be cleared when writing data into SC_THR (TX buffer not empty). 9 1 read-only TX_ERETRY_F Transmitter Retry Error (Read Only) This bit is set by hardware when transmitter re-transmits. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2 This bit is a flag and can not generate any interrupt to CPU. 29 1 read-only TX_FULL_F Transmit buffer Full Status flag (Read Only)\nThis bit indicates TX buffer full or not.\nThis bit is set when TX pointer is equal to 4, otherwise is cleared by hardware. 10 1 read-only TX_OVER_ERETRY Transmitter Over Retry Error (Read Only) This bit is set by hardware when transmitter re-transmits over retry number limitation. Note: This bit is read only, but it can be cleared by writing 1 to it. 30 1 read-only TX_OVER_F TX Overflow Error Interrupt Status Flag (Read Only) Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: The additional write data will be ignored. 8 1 read-only TX_POINT_F Transmit Buffer Pointer Status Flag (Read Only)\nThis field indicates the TX buffer pointer status flag. When CPU writes data into SC_THR, TX_POINT_F increases one. When one byte of TX Buffer is transferred to transmitter shift register, TX_POINT_F decreases one. 24 3 read-only SC_UACTL SC_UACTL SC UART Mode Control Register. 0x34 read-write n 0x0 0x0 DATA_LEN Data Length 4 2 read-write OPE Odd Parity Enable\nNote: This bit has effect only when PBDIS bit is '0'. 7 1 read-write 0 Even number of logic 1's are transmitted or check the data word and parity bits in receiving mode #0 1 Odd number of logic 1's are transmitted or check the data word and parity bits in receiving mode #1 PBDIS Parity Bit Disable\nNote: In Smart Card mode, this field must be '0' (default setting is with parity bit) 6 1 read-write 0 Parity bit is generated or checked between the last data word bit and stop bit of the serial data #0 1 Parity bit is not generated (transmitting data) or checked (receiving data) during transfer #1 UA_MODE_EN UART Mode Enable Note1: When operating in UART mode, user must set SCx_CTL [CON_SEL] and SCx_CTL [AUTO_CON_EN] to 0 . Note2: When operating in smart card mode, user must set SCx_UACTL [7:0] register to 0 . Note3: When UART is enabled, hardware will generate a reset to reset internal buffer and internal state machine. 0 1 read-write 0 Smart Card mode #0 1 UART mode #1 SC1 SC Register Map SC 0x0 0x0 0x40 registers n SC_ALTCTL SC_ALTCTL SC Alternate Control Register. 0x8 read-write n 0x0 0x0 ACT_EN Activation Sequence Generator Enable This bit enables SC controller to initiate the card by activation sequence Note1: When the activation sequence completed, this bit will be cleared automatically and the SC_IS [INIT_IS] will be set to 1 . Note2: This field will be cleared by TX_RST and RX_RST, so don't fill this bit, TX_RST, and RX_RST at the same time. Note3: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 3 1 read-write 0 No effect #0 1 Activation sequence generator Enabled #1 DACT_EN Deactivation Sequence Generator Enable This bit enables SC controller to initiate the card by deactivation sequence Note1: When the deactivation sequence completed, this bit will be cleared automatically and the SC_ISR [INIT_IS] will be set to 1 . Note2: This field will be cleared by TX_RST and RX_RST. So don't fill this bit, TX_RST, and RX_RST at the same time. Note3: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 2 1 read-write 0 No effect #0 1 Deactivation sequence generator Enabled #1 INIT_SEL Initial Timing Selection 8 2 read-write RX_BGT_EN Receiver Block Guard Time Function Enable 12 1 read-write 0 Receiver block guard time function Disabled #0 1 Receiver block guard time function Enabled #1 RX_RST RX Software Reset\nWhen RX_RST is set, all the bytes in the receiver buffer and RX internal state machine will be cleared.\nNote: This bit will be auto cleared and needs at least 3 SC engine clock cycles. 1 1 read-write 0 No effect #0 1 Reset the RX internal state machine and pointers #1 TMR0_ATV Internal Timer0 Active State (Read Only)\nThis bit indicates the timer counter status of timer0. 13 1 read-only 0 Timer0 is not active #0 1 Timer0 is active #1 TMR0_SEN Internal Timer0 Start Enable This bit enables Timer0 to start counting. Software can fill 0 to stop it and set 1 to reload and count. Note3: This field will be cleared by TX_RST and RX_RST. So don't fill this bit, TX_RST and RX_RST at the same time. Note4: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 5 1 read-write 0 Stops counting #0 1 Starts counting #1 TMR1_ATV Internal Timer1 Active State (Read Only)\nThis bit indicates the timer counter status of timer1. 14 1 read-only 0 Timer1 is not active #0 1 Timer1 is active #1 TMR1_SEN Internal Timer1 Start Enable This bit enables Timer 1 to start counting. Software can fill 0 to stop it and set 1 to reload and count. Note3: This field will be cleared by TX_RST and RX_RST, so don't fill this bit, TX_RST, and RX_RST at the same time. Note4: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 6 1 read-write 0 Stops counting #0 1 Starts counting #1 TMR2_ATV Internal Timer2 Active State (Read Only)\nThis bit indicates the timer counter status of timer2. 15 1 read-only 0 Timer2 is not active #0 1 Timer2 is active #1 TMR2_SEN Internal Timer2 Start Enable This bit enables Timer2 to start counting. Software can fill 0 to stop it and set 1 to reload and count. Note3: This field will be cleared by TX_RST and RX_RST. So don't fill this bit, TX_RST, and RX_RST at the same time. Note4: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 7 1 read-write 0 Stops counting #0 1 Starts counting #1 TX_RST TX Software Reset\nWhen TX_RST is set, all the bytes in the transmit buffer and TX internal state machine will be cleared.\nNote: This bit will be auto cleared and needs at least 3 SC engine clock cycles. 0 1 read-write 0 No effect #0 1 Reset the TX internal state machine and pointers #1 WARST_EN Warm Reset Sequence Generator Enable This bit enables SC controller to initiate the card by warm reset sequence Note1: When the warm reset sequence completed, this bit will be cleared automatically and the SC_ISR [INIT_IS] will be set to 1 . Note2: This field will be cleared by TX_RST and RX_RST, so don't fill this bit, TX_RST, and RX_RST at the same time. Note3: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 4 1 read-write 0 No effect #0 1 Warm reset sequence generator Enabled #1 SC_CTL SC_CTL SC Control Register. 0x4 read-write n 0x0 0x0 AUTO_CON_EN Auto Convention Enable 3 1 read-write 0 Auto-convention Disabled #0 1 Auto-convention Enabled. When hardware receives TS in answer to reset state and the TS is direct convention, CON_SEL will be set to 00 automatically, otherwise if the TS is inverse convention, CON_SEL will be set to 11 #1 BGT Block Guard Time (BGT)\nIn TX mode, hardware will auto hold off first character until BGT has elapsed regardless of the TX data.\n\nIn RX mode, software can enable SC_ALTCTL [RX_BGT_EN] to detect the first coming character timing. If the incoming data timing less than BGT, an interrupt will be generated.\n\nNote: The real block guard time is BGT + 1. 8 5 read-write CD_DEB_SEL Card Detect De-Bounce Select Register 24 2 read-write CON_SEL Convention Selection 4 2 read-write DIS_RX RX Transition Disable 1 1 read-write 0 Receiver Enabled #0 1 Receiver Disabled #1 DIS_TX TX Transition Disable 2 1 read-write 0 Transceiver Enabled #0 1 Transceiver Disabled #1 RX_ERETRY RX Error Retry Register\nThis field indicates the maximum number of receiver retries that are allowed when parity error has occurred.\nNote1: The real maximum retry number is RX_ERETRY + 1, so 8 is the maximum retry number.\nNote2: This field can not be changed when RX_ERETRY_EN enabled. The change flow is to disable RX_ETRTRY_EN first and then fill new retry value. 16 3 read-write RX_ERETRY_EN RX Error Retry Enable Register\nThis bit enables receiver retry function when parity error has occurred.\nNote: User must fill RX_ERETRY value before enabling this bit. 19 1 read-write 0 RX error retry function Disabled #0 1 RX error retry function Enabled #1 RX_FTRI_LEV RX Buffer Trigger Level 6 2 read-write SC_CEN SC Engine Enable Set this bit to 1 to enable SC operation. If this bit is cleared, SC will force all transition to IDLE state. 0 1 read-write SLEN Stop Bit Length\nThis field indicates the length of stop bit.\nNote: The default stop bit length is 2. 15 1 read-write 0 The stop bit length is 2 ETU #0 1 The stop bit length is 1 ETU #1 TMR_SEL Timer Selection 13 2 read-write TX_ERETRY TX Error Retry Register\nThis field indicates the maximum number of transmitter retries that are allowed when parity error has occurred.\nNote1: The real retry number is TX_ERETRY + 1, so 8 is the maximum retry number.\nNote2: This field can not be changed when TX_ERETRY_EN enabled. The change flow is to disable TX_ETRTRY_EN first and then fill new retry value. 20 3 read-write TX_ERETRY_EN TX Error Retry Enable Register\nThis bit enables transmitter retry function when parity error has occurred.\nNote: User must fill TX_ERETRY value before enabling this bit. 23 1 read-write 0 TX error retry function Disabled #0 1 TX error retry function Enabled #1 SC_EGTR SC_EGTR SC Extend Guard Time Register. 0xC read-write n 0x0 0x0 EGT Extended Guard Time\nThis field indicates the extended guard timer value.\n\nNote: The counter is ETU based and the real extended guard time is EGT. 0 8 read-write SC_ETUCR SC_ETUCR SC ETU Control Register. 0x14 -1 read-write n 0x0 0x0 COMPEN_EN Compensation Mode Enable\nThis bit enables clock compensation function. When this bit enabled, hardware will alternate between n clock cycles and (n-1) clock cycles, where n is the value to be written into the ETU_RDIV register. 15 1 read-write 0 Compensation function Disabled #0 1 Compensation function Enabled #1 ETU_RDIV ETU Rate Divider\nThe field indicates the clock rate divider.\nThe real ETU is ETU_RDIV + 1.\nNote1: Software can configure this field, but this field must be greater than 0x04.\nNote2: Software can configure this field, but if the error rate is equal to 2%, this field must be greater than 0x040. 0 12 read-write SC_IER SC_IER SC Interrupt Enable Register. 0x18 read-write n 0x0 0x0 ACON_ERR_IE Auto convention Error Interrupt Enable \nThis field is used for auto convention error interrupt enable. 10 1 read-write 0 INT_ACON_ERR Disabled #0 1 INT_ACON_ERR Enabled #1 BGT_IE Block Guard Time Interrupt Enable\nThis field is used for block guard time interrupt enable. 6 1 read-write 0 INT_BGT Disabled #0 1 INT_BGT Enabled #1 CD_IE Card Detect Interrupt Enable\nThis field is used for card detect interrupt enable. The card detect status register is SC_PINCSR [CD_CH] and SC_PINCSR[CD_CL]. 7 1 read-write 0 INT_CD Disabled #0 1 INT_CD Enabled #1 COMPEN_EN Compensation Mode Enable\nThis bit enables clock compensation function. When this bit enabled, hardware will alternate between n clock cycles and (n-1) clock cycles, where n is the value to be written into the ETU_RDIV register. 15 1 read-write 0 Compensation function Disabled #0 1 Compensation function Enabled #1 INIT_IE Initial End Interrupt Enable\nThis field is used for activation (SC_ALTCTL [ACT_EN]), deactivation (SC_ALTCTL [DACT_EN]) and warm reset (SC_ALTCTL [WARST_EN]) sequence interrupt enable. 8 1 read-write 0 INT_INIT Disabled #0 1 INT_INIT Enabled #1 RDA_IE Receive Data Reach Interrupt Enable\nThis field is used for received data reaching trigger level (SC_CTL [RX_FTRI_LEV]) interrupt enable. 0 1 read-write 0 INT_RDR Disabled #0 1 INT_RDR Enabled #1 RTMR_IE Receiver Buffer Time-Out Interrupt Enable \nThis field is used for receiver buffer time-out interrupt enable. 9 1 read-write 0 INT_RTMR Disabled #0 1 INT_RTMR Enabled #1 TBE_IE Transmit Buffer Empty Interrupt Enable\nThis field is used for transmit buffer empty interrupt enable. 1 1 read-write 0 INT_THRE Disabled #0 1 INT_THRE Enabled #1 TERR_IE Transfer Error Interrupt Enable This field is used for transfer error interrupt enable. The transfer error states is at SC_TRSR register which includes receiver break error (RX_EBR_F), frame error (RX_EFR_F), parity error (RX_EPA_F), receiver buffer overflow error (RX_OVER_F), transmit buffer overflow error (TX_OVER_F), receiver retry over limit error (RX_OVER_ERETRY) and transmitter retry over limit error (TX_OVER_ERETRY). 2 1 read-write 0 INT_TERR Disabled #0 1 INT_TERR Enabled #1 TMR0_IE Timer0 Interrupt Enable\nThis field is used for TMR0 interrupt enable. 3 1 read-write 0 INT_TMR0 Disabled #0 1 INT_TMR0 Enabled #1 TMR1_IE Timer1 Interrupt Enable\nThis field is used for TMR1 interrupt enable. 4 1 read-write 0 INT_TMR1 Disabled #0 1 INT_TMR1 Enabled #1 TMR2_IE Timer2 Interrupt Enable\nThis field is used for TMR2 interrupt enable. 5 1 read-write 0 INT_TMR2 Disabled #0 1 INT_TMR2 Enabled #1 SC_ISR SC_ISR SC Interrupt Status Register. 0x1C -1 read-write n 0x0 0x0 ACON_ERR_IS Auto Convention Error Interrupt Status Flag (Read Only) This field indicates auto convention sequence error. If the received TS at ATR state is not 0x3B or 0x3F, this bit will be set. Note: This bit is read only, but can be cleared by writing 1 to it. 10 1 read-only BGT_IS Block Guard Time Interrupt Status Flag (Read Only) This field is used for block guard time interrupt status flag. Note: This bit is read only, but it can be cleared by writing 1 to it. 6 1 read-only CD_IS Card Detect Interrupt Status Flag (Read Only) This field is used for card detect interrupt status flag. The card detect status register is SC_PINCSR [CD_INS_F] and SC_PINCSR [CD_REM_F]. Note: This field is the status flag of SC_PINCSR [CD_INS_F] or SC_PINCSR [CD_REM_F]. So if software wants to clear this bit, software must write 1 to this field. 7 1 read-only INIT_IS Initial End Interrupt Status Flag (Read Only) This field is used for activation (SC_ALTCTL [ACT_EN]), deactivation (SC_ALTCTL [DACT_EN]) and warm reset (SC_ALTCTL [WARST_EN]) sequence interrupt status flag. Note: This bit is read only, but it can be cleared by writing 1 to it. 8 1 read-only RDA_IS Receive Data Reach Interrupt Status Flag (Read Only)\nThis field is used for received data reaching trigger level (SC_CTL [RX_FTRI_LEV]) interrupt status flag.\nNote: This field is the status flag of received data reaching SC_CTL [RX_FTRI_LEV]. If software reads data from SC_RBR and receiver pointer is less than SC_CTL [RX_FTRI_LEV], this bit will be cleared automatically. 0 1 read-only RTMR_IS Receiver buffer Time-Out Interrupt Status Flag (Read Only)\nThis field is used for receiver buffer time-out interrupt status flag.\nNote: This field is the status flag of receiver buffer time-out state. If software wants to clear this bit, software must read the receiver buffer remaining data by reading SC_RBR register, 9 1 read-only TBE_IS Transmit Buffer Empty Interrupt Status Flag (Read Only) This field is used for transmit buffer empty interrupt status flag. This bit is different with SC_TRSR [TX_EMPTY_F] flag and SC_TRSR [TX_ATV] flag The TX_EMPTY_F will be set when the last byte data be read to shift register and TX_ATV flag indicates the transmitter is in active or not (the last data has been transmitted or not), but the TBE_IS may be set when the last byte data be read to shift register or the last data has been transmitted. When this bit assert, software can write 1~4 byte data to SC_THR register. Note: If software wants to clear this bit, software must write data to SC_THR register and then this bit will be cleared automatically. 1 1 read-only TERR_IS Transfer Error Interrupt Status Flag (Read Only) This field is used for transfer error interrupt status flag. The transfer error states is at SC_TRSR register which includes receiver break error (RX_EBR_F), frame error (RX_EFR_F), parity error (RX_EPA_F) and receiver buffer overflow error (RX_OVER_F), transmit buffer overflow error (TX_OVER_F), receiver retry over limit error (RX_OVER_ERETRY) and transmitter retry over limit error (TX_OVER_ERETRY). Note: This field is the status flag of SC_TRSR [RX_EBR_F], SC_TRSR [RX_EFR_F], SC_TRSR [RX_EPA_F], SC_TRSR [RX_OVER_F], SC_TRSR [TX_OVER_F], SC_TRSR [RX_OVER_ERETRY] or SC_TRSR [TX_OVER_ERETRY]. So if software wants to clear this bit, software must write 1 to each field. 2 1 read-only TMR0_IS Timer0 Interrupt Status Flag (Read Only) This field is used for TMR0 interrupt status flag. Note: This bit is read only, but it can be cleared by writing 1 to it. 3 1 read-only TMR1_IS Timer1 Interrupt Status Flag (Read Only) This field is used for TMR1 interrupt status flag. Note: This bit is read only, but it can be cleared by writing 1 to it. 4 1 read-only TMR2_IS Timer2 Interrupt Status Flag (Read Only) This field is used for TMR2 interrupt status flag. Note: This bit is read only, but it can be cleared by writing 1 to it. 5 1 read-only SC_PINCSR SC_PINCSR SC Pin Control State Register. 0x24 read-write n 0x0 0x0 ADAC_CD_EN Auto Deactivation When Card Removal\nNote1: When the card is removal, hardware will stop any process and then do deactivation sequence (if this bit be setting). If this process completes. Hardware will generate an interrupt INT_INIT to CPU. 7 1 read-write 0 Auto deactivation Disabled when hardware detected the card is removal #0 1 Auto deactivation Enabled when hardware detected the card is removal #1 CD_INS_F Card Detect Insert Status Of SC_CD Pin (Read Only) This bit is set whenever card has been inserted. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: Card detect engine will start after SC_CTL [SC_CEN] set. 3 1 read-only 0 No effect #0 1 Card insert #1 CD_LEV Card Detect Level\n\nNote: Software must select card detect level before Smart Card engine enable 10 1 read-write 0 When hardware detects the card detect pin from high to low, it indicates a card is detected #0 1 When hardware detects the card detect pin from low to high, it indicates a card is detected #1 CD_PIN_ST Card Detect Status Of SC_CD Pin Status (Read Only)\nThis bit is the pin status flag of SC_CD 4 1 read-only 0 SC_CD pin state at low #0 1 SC_CD pin state at high #1 CD_REM_F Card Detect Removal Status Of SC_CD Pin (Read Only) This bit is set whenever card has been removal. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: Card detect engine will start after SC_CTL [SC_CEN] set. 2 1 read-only 0 No effect #0 1 Card Removal #1 CLK_KEEP SC Clock Enable \nNote: When operation at activation, warm reset or deactivation mode, this bit will be changed automatically. So don't fill this field When operating in these modes. 6 1 read-write 0 SC clock generation Disabled #0 1 SC clock always keeps free running #1 CLK_STOP_LEV SC Clock Stop Level\nThis field indicates the clock polarity control in clock stop mode. 5 1 read-write 0 SC_CLK stopped in low level #0 1 SC_CLK stopped in high level #1 POW_EN SC_POW_EN Pin Signal\nThis bit is the pin status of SC_POW_EN but user can drive SC_POW_EN pin to high or low by setting this bit.\nNote: When operation at activation, warm reset or deactivation mode, this bit will be changed automatically. So don't fill this field When operating in these modes. 0 1 read-write 0 Drive SC_POW_EN pin to low #0 1 Drive SC_POW_EN pin to high #1 SC_DATA_I_ST SC Data Input Pin Status (Read Only)\nThis bit is the pin status of SC_DATA_I 16 1 read-only 0 The SC_DATA_I pin is low #0 1 The SC_DATA_I pin is high #1 SC_DATA_O Output of SC Data Pin This bit is the pin status of SC data output but user can drive this pin to high or low by setting this bit. Note: When SC is at activation, warm re set or deactivation mode, this bit will be changed automatically. So don't fill this field when SC is in these modes. 9 1 read-write 0 Drive SC data output pin to low #0 1 Drive SC data output pin to high #1 SC_OEN_ST SC Data Pin Output Enable Status (Read Only) 8 1 read-only 0 SC data output enable pin status is at low #0 1 SC data output enable pin status is at high #1 SC_RST SC_RST Pin Signal\nThis bit is the pin status of SC_RST but user can drive SC_RST pin to high or low by setting this bit.\nNote: When operation at activation, warm reset or deactivation mode, this bit will be changed automatically. So don't fill this field When operating in these modes. 1 1 read-write 0 Drive SC_RST pin to low #0 1 Drive SC_RST pin to high #1 SC_RBR SC_RBR SC Receiving Buffer Register (Read Only). 0x0 read-only n 0x0 0x0 RBR Receive Buffer Register\nBy reading this register, the SC will return an 8-bit received data. 0 8 read-only SC_RFTMR SC_RFTMR SC Receive Buffer Time-Out Register. 0x10 read-write n 0x0 0x0 RFTM SC Receiver Buffer Time-Out Register (ETU Based) The time-out counter resets and starts counting whenever the RX buffer received a new data word. Once the counter decrease to 1 and no new data is received or CPU does not read data by reading SC_RBR register, a receiver time-out interrupt INT_RTMR will be generated(if SC_IER[RTMR_IE] is high). Note1: The counter is ETU based and the real count value is RFTM + 1 Note2: Fill all 0 to this field to disable this function. 0 9 read-write SC_TDRA SC_TDRA SC Timer Current Data Register A. 0x38 -1 read-only n 0x0 0x0 TDR0 Timer0 Current Data Register (Read Only)\nThis field indicates the current count values of timer0. 0 24 read-only SC_TDRB SC_TDRB SC Timer Current Data Register B. 0x3C -1 read-only n 0x0 0x0 TDR1 Timer1 Current Data Register (Read Only)\nThis field indicates the current count values of timer1. 0 8 read-only TDR2 Timer2 Current Data Register (Read Only)\nThis field indicates the current count values of timer2. 8 8 read-only SC_THR SC_THR SC Transmit Holding Register. SC_RBR 0x0 write-only n 0x0 0x0 THR Transmit Holding Register\nBy writing to this register, the SC will send out an 8-bit data.\nNote: If SC_CTL [SC_CEN] not enabled, this register can not be programmed. 0 8 write-only SC_TMR0 SC_TMR0 SC Internal Timer Control Register 0. 0x28 read-write n 0x0 0x0 CNT Timer 0 Counter Value Register (ETU Base)\nThis field indicates the internal timer operation values. 0 24 read-write MODE Timer 0 Operation Mode Selection 24 4 read-write SC_TMR1 SC_TMR1 SC Internal Timer Control Register 1. 0x2C read-write n 0x0 0x0 CNT Timer 1 Counter Value Register (ETU Base)\nThis field indicates the internal timer operation values. 0 8 read-write MODE Timer 1 Operation Mode Selection 24 4 read-write SC_TMR2 SC_TMR2 SC Internal Timer Control Register 2. 0x30 read-write n 0x0 0x0 CNT Timer 2 Counter Value Register (ETU Base)\nThis field indicates the internal timer operation values. 0 8 read-write MODE Timer 2 Operation Mode Selection 24 4 read-write SC_TRSR SC_TRSR SC Transfer Status Register. 0x20 -1 read-write n 0x0 0x0 RX_ATV Receiver In Active Status Flag (Read Only)\nThis bit is set by hardware when RX transfer is in active.\nThis bit is cleared automatically when RX transfer is finished. 23 1 read-only RX_EBR_F Receiver Break Error Status Flag (Read Only) This bit is set to a logic 1 whenever the received data input (RX) held in the spacing state (logic 0 ) is longer than a full word transmission time (that is, the total time of start bit + data bits + parity + stop bits). . Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: If CPU sets receiver retries function by setting SC_CTL [RX_ERETRY_EN] register, hardware will not set this flag. 6 1 read-only RX_EFR_F Receiver Frame Error Status Flag (Read Only) This bit is set to logic 1 whenever the received character does not have a valid stop bit (that is, the stop bit following the last data bit or parity bit is detected as a logic 0 ). Note1: This bit is read only, but can be cleared by writing 1 to it. Note2: If CPI sets receiver retries function by setting SC_CTL [RX_ERETRY_EN] register, hardware will not set this flag. 5 1 read-only RX_EMPTY_F Receiver Buffer Empty Status Flag(Read Only)\nThis bit indicates RX buffer empty or not.\nWhen the last byte of RX buffer has been read by CPU, hardware sets this bit high. It will be cleared when SC receives any new data. 1 1 read-only RX_EPA_F Receiver Parity Error Status Flag (Read Only) This bit is set to logic 1 whenever the received character does not have a valid parity bit . Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: If CPU sets receiver retries function by setting SC_CTL [RX_ERETRY_EN] register, hardware will not set this flag. 4 1 read-only RX_ERETRY_F Receiver Retry Error (Read Only) This bit is set by hardware when RX has any error and retries transfer. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2 This bit is a flag and can not generate any interrupt to CPU. Note3: If CPU enables receiver retry function by setting SC_CTL [RX_ERETRY_EN] register, the RX_EPA_F flag will be ignored (hardware will not set RX_EPA_F). 21 1 read-only RX_FULL_F Receiver Buffer Full Status Flag (Read Only)\nThis bit indicates RX buffer full or not.\nThis bit is set when RX pointer is equal to 4, otherwise it is cleared by hardware. 2 1 read-only RX_OVER_ERETRY Receiver Over Retry Error (Read Only) This bit is set by hardware when RX transfer error retry over retry number limit. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: If CPU enables receiver retries function by setting SC_CTL [RX_ERETRY_EN] register, the RX_EPA_F flag will be ignored (hardware will not set RX_EPA_F). 22 1 read-only RX_OVER_F RX Overflow Error Status Flag (Read Only) This bit is set when RX buffer overflow. If the number of received bytes is greater than RX Buffer (SC_RBR) size, 4 bytes of SC, this bit will be set. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: The overwrite data will be ignored. 0 1 read-only RX_POINT_F Receiver Buffer Pointer Status Flag (Read Only)\nThis field indicates the RX buffer pointer status flag. When SC receives one byte from external device, RX_POINT_F increases one. When one byte of RX buffer is read by CPU, RX_POINT_F decreases one. 16 3 read-only TX_ATV Transmit In Active Status Flag (Read Only)\nThis bit is set by hardware when TX transfer is in active or the last byte transmission has not completed.\nThis bit is cleared automatically when TX transfer is finished and the STOP bit (include guard time) has been transmitted. 31 1 read-only TX_EMPTY_F Transmit buffer Empty Status Flag (Read Only)\nThis bit indicates TX buffer empty or not.\nWhen the last byte of TX buffer has been transferred to Transmitter Shift Register, hardware sets this bit high. It will be cleared when writing data into SC_THR (TX buffer not empty). 9 1 read-only TX_ERETRY_F Transmitter Retry Error (Read Only) This bit is set by hardware when transmitter re-transmits. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2 This bit is a flag and can not generate any interrupt to CPU. 29 1 read-only TX_FULL_F Transmit buffer Full Status flag (Read Only)\nThis bit indicates TX buffer full or not.\nThis bit is set when TX pointer is equal to 4, otherwise is cleared by hardware. 10 1 read-only TX_OVER_ERETRY Transmitter Over Retry Error (Read Only) This bit is set by hardware when transmitter re-transmits over retry number limitation. Note: This bit is read only, but it can be cleared by writing 1 to it. 30 1 read-only TX_OVER_F TX Overflow Error Interrupt Status Flag (Read Only) Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: The additional write data will be ignored. 8 1 read-only TX_POINT_F Transmit Buffer Pointer Status Flag (Read Only)\nThis field indicates the TX buffer pointer status flag. When CPU writes data into SC_THR, TX_POINT_F increases one. When one byte of TX Buffer is transferred to transmitter shift register, TX_POINT_F decreases one. 24 3 read-only SC_UACTL SC_UACTL SC UART Mode Control Register. 0x34 read-write n 0x0 0x0 DATA_LEN Data Length 4 2 read-write OPE Odd Parity Enable\nNote: This bit has effect only when PBDIS bit is '0'. 7 1 read-write 0 Even number of logic 1's are transmitted or check the data word and parity bits in receiving mode #0 1 Odd number of logic 1's are transmitted or check the data word and parity bits in receiving mode #1 PBDIS Parity Bit Disable\nNote: In Smart Card mode, this field must be '0' (default setting is with parity bit) 6 1 read-write 0 Parity bit is generated or checked between the last data word bit and stop bit of the serial data #0 1 Parity bit is not generated (transmitting data) or checked (receiving data) during transfer #1 UA_MODE_EN UART Mode Enable Note1: When operating in UART mode, user must set SCx_CTL [CON_SEL] and SCx_CTL [AUTO_CON_EN] to 0 . Note2: When operating in smart card mode, user must set SCx_UACTL [7:0] register to 0 . Note3: When UART is enabled, hardware will generate a reset to reset internal buffer and internal state machine. 0 1 read-write 0 Smart Card mode #0 1 UART mode #1 SC2 SC Register Map SC 0x0 0x0 0x40 registers n SC_ALTCTL SC_ALTCTL SC Alternate Control Register. 0x8 read-write n 0x0 0x0 ACT_EN Activation Sequence Generator Enable This bit enables SC controller to initiate the card by activation sequence Note1: When the activation sequence completed, this bit will be cleared automatically and the SC_IS [INIT_IS] will be set to 1 . Note2: This field will be cleared by TX_RST and RX_RST, so don't fill this bit, TX_RST, and RX_RST at the same time. Note3: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 3 1 read-write 0 No effect #0 1 Activation sequence generator Enabled #1 DACT_EN Deactivation Sequence Generator Enable This bit enables SC controller to initiate the card by deactivation sequence Note1: When the deactivation sequence completed, this bit will be cleared automatically and the SC_ISR [INIT_IS] will be set to 1 . Note2: This field will be cleared by TX_RST and RX_RST. So don't fill this bit, TX_RST, and RX_RST at the same time. Note3: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 2 1 read-write 0 No effect #0 1 Deactivation sequence generator Enabled #1 INIT_SEL Initial Timing Selection 8 2 read-write RX_BGT_EN Receiver Block Guard Time Function Enable 12 1 read-write 0 Receiver block guard time function Disabled #0 1 Receiver block guard time function Enabled #1 RX_RST RX Software Reset\nWhen RX_RST is set, all the bytes in the receiver buffer and RX internal state machine will be cleared.\nNote: This bit will be auto cleared and needs at least 3 SC engine clock cycles. 1 1 read-write 0 No effect #0 1 Reset the RX internal state machine and pointers #1 TMR0_ATV Internal Timer0 Active State (Read Only)\nThis bit indicates the timer counter status of timer0. 13 1 read-only 0 Timer0 is not active #0 1 Timer0 is active #1 TMR0_SEN Internal Timer0 Start Enable This bit enables Timer0 to start counting. Software can fill 0 to stop it and set 1 to reload and count. Note3: This field will be cleared by TX_RST and RX_RST. So don't fill this bit, TX_RST and RX_RST at the same time. Note4: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 5 1 read-write 0 Stops counting #0 1 Starts counting #1 TMR1_ATV Internal Timer1 Active State (Read Only)\nThis bit indicates the timer counter status of timer1. 14 1 read-only 0 Timer1 is not active #0 1 Timer1 is active #1 TMR1_SEN Internal Timer1 Start Enable This bit enables Timer 1 to start counting. Software can fill 0 to stop it and set 1 to reload and count. Note3: This field will be cleared by TX_RST and RX_RST, so don't fill this bit, TX_RST, and RX_RST at the same time. Note4: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 6 1 read-write 0 Stops counting #0 1 Starts counting #1 TMR2_ATV Internal Timer2 Active State (Read Only)\nThis bit indicates the timer counter status of timer2. 15 1 read-only 0 Timer2 is not active #0 1 Timer2 is active #1 TMR2_SEN Internal Timer2 Start Enable This bit enables Timer2 to start counting. Software can fill 0 to stop it and set 1 to reload and count. Note3: This field will be cleared by TX_RST and RX_RST. So don't fill this bit, TX_RST, and RX_RST at the same time. Note4: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 7 1 read-write 0 Stops counting #0 1 Starts counting #1 TX_RST TX Software Reset\nWhen TX_RST is set, all the bytes in the transmit buffer and TX internal state machine will be cleared.\nNote: This bit will be auto cleared and needs at least 3 SC engine clock cycles. 0 1 read-write 0 No effect #0 1 Reset the TX internal state machine and pointers #1 WARST_EN Warm Reset Sequence Generator Enable This bit enables SC controller to initiate the card by warm reset sequence Note1: When the warm reset sequence completed, this bit will be cleared automatically and the SC_ISR [INIT_IS] will be set to 1 . Note2: This field will be cleared by TX_RST and RX_RST, so don't fill this bit, TX_RST, and RX_RST at the same time. Note3: If SC_CTL [SC_CEN] is not enabled, this filed can not be programmed. 4 1 read-write 0 No effect #0 1 Warm reset sequence generator Enabled #1 SC_CTL SC_CTL SC Control Register. 0x4 read-write n 0x0 0x0 AUTO_CON_EN Auto Convention Enable 3 1 read-write 0 Auto-convention Disabled #0 1 Auto-convention Enabled. When hardware receives TS in answer to reset state and the TS is direct convention, CON_SEL will be set to 00 automatically, otherwise if the TS is inverse convention, CON_SEL will be set to 11 #1 BGT Block Guard Time (BGT)\nIn TX mode, hardware will auto hold off first character until BGT has elapsed regardless of the TX data.\n\nIn RX mode, software can enable SC_ALTCTL [RX_BGT_EN] to detect the first coming character timing. If the incoming data timing less than BGT, an interrupt will be generated.\n\nNote: The real block guard time is BGT + 1. 8 5 read-write CD_DEB_SEL Card Detect De-Bounce Select Register 24 2 read-write CON_SEL Convention Selection 4 2 read-write DIS_RX RX Transition Disable 1 1 read-write 0 Receiver Enabled #0 1 Receiver Disabled #1 DIS_TX TX Transition Disable 2 1 read-write 0 Transceiver Enabled #0 1 Transceiver Disabled #1 RX_ERETRY RX Error Retry Register\nThis field indicates the maximum number of receiver retries that are allowed when parity error has occurred.\nNote1: The real maximum retry number is RX_ERETRY + 1, so 8 is the maximum retry number.\nNote2: This field can not be changed when RX_ERETRY_EN enabled. The change flow is to disable RX_ETRTRY_EN first and then fill new retry value. 16 3 read-write RX_ERETRY_EN RX Error Retry Enable Register\nThis bit enables receiver retry function when parity error has occurred.\nNote: User must fill RX_ERETRY value before enabling this bit. 19 1 read-write 0 RX error retry function Disabled #0 1 RX error retry function Enabled #1 RX_FTRI_LEV RX Buffer Trigger Level 6 2 read-write SC_CEN SC Engine Enable Set this bit to 1 to enable SC operation. If this bit is cleared, SC will force all transition to IDLE state. 0 1 read-write SLEN Stop Bit Length\nThis field indicates the length of stop bit.\nNote: The default stop bit length is 2. 15 1 read-write 0 The stop bit length is 2 ETU #0 1 The stop bit length is 1 ETU #1 TMR_SEL Timer Selection 13 2 read-write TX_ERETRY TX Error Retry Register\nThis field indicates the maximum number of transmitter retries that are allowed when parity error has occurred.\nNote1: The real retry number is TX_ERETRY + 1, so 8 is the maximum retry number.\nNote2: This field can not be changed when TX_ERETRY_EN enabled. The change flow is to disable TX_ETRTRY_EN first and then fill new retry value. 20 3 read-write TX_ERETRY_EN TX Error Retry Enable Register\nThis bit enables transmitter retry function when parity error has occurred.\nNote: User must fill TX_ERETRY value before enabling this bit. 23 1 read-write 0 TX error retry function Disabled #0 1 TX error retry function Enabled #1 SC_EGTR SC_EGTR SC Extend Guard Time Register. 0xC read-write n 0x0 0x0 EGT Extended Guard Time\nThis field indicates the extended guard timer value.\n\nNote: The counter is ETU based and the real extended guard time is EGT. 0 8 read-write SC_ETUCR SC_ETUCR SC ETU Control Register. 0x14 -1 read-write n 0x0 0x0 COMPEN_EN Compensation Mode Enable\nThis bit enables clock compensation function. When this bit enabled, hardware will alternate between n clock cycles and (n-1) clock cycles, where n is the value to be written into the ETU_RDIV register. 15 1 read-write 0 Compensation function Disabled #0 1 Compensation function Enabled #1 ETU_RDIV ETU Rate Divider\nThe field indicates the clock rate divider.\nThe real ETU is ETU_RDIV + 1.\nNote1: Software can configure this field, but this field must be greater than 0x04.\nNote2: Software can configure this field, but if the error rate is equal to 2%, this field must be greater than 0x040. 0 12 read-write SC_IER SC_IER SC Interrupt Enable Register. 0x18 read-write n 0x0 0x0 ACON_ERR_IE Auto convention Error Interrupt Enable \nThis field is used for auto convention error interrupt enable. 10 1 read-write 0 INT_ACON_ERR Disabled #0 1 INT_ACON_ERR Enabled #1 BGT_IE Block Guard Time Interrupt Enable\nThis field is used for block guard time interrupt enable. 6 1 read-write 0 INT_BGT Disabled #0 1 INT_BGT Enabled #1 CD_IE Card Detect Interrupt Enable\nThis field is used for card detect interrupt enable. The card detect status register is SC_PINCSR [CD_CH] and SC_PINCSR[CD_CL]. 7 1 read-write 0 INT_CD Disabled #0 1 INT_CD Enabled #1 COMPEN_EN Compensation Mode Enable\nThis bit enables clock compensation function. When this bit enabled, hardware will alternate between n clock cycles and (n-1) clock cycles, where n is the value to be written into the ETU_RDIV register. 15 1 read-write 0 Compensation function Disabled #0 1 Compensation function Enabled #1 INIT_IE Initial End Interrupt Enable\nThis field is used for activation (SC_ALTCTL [ACT_EN]), deactivation (SC_ALTCTL [DACT_EN]) and warm reset (SC_ALTCTL [WARST_EN]) sequence interrupt enable. 8 1 read-write 0 INT_INIT Disabled #0 1 INT_INIT Enabled #1 RDA_IE Receive Data Reach Interrupt Enable\nThis field is used for received data reaching trigger level (SC_CTL [RX_FTRI_LEV]) interrupt enable. 0 1 read-write 0 INT_RDR Disabled #0 1 INT_RDR Enabled #1 RTMR_IE Receiver Buffer Time-Out Interrupt Enable \nThis field is used for receiver buffer time-out interrupt enable. 9 1 read-write 0 INT_RTMR Disabled #0 1 INT_RTMR Enabled #1 TBE_IE Transmit Buffer Empty Interrupt Enable\nThis field is used for transmit buffer empty interrupt enable. 1 1 read-write 0 INT_THRE Disabled #0 1 INT_THRE Enabled #1 TERR_IE Transfer Error Interrupt Enable This field is used for transfer error interrupt enable. The transfer error states is at SC_TRSR register which includes receiver break error (RX_EBR_F), frame error (RX_EFR_F), parity error (RX_EPA_F), receiver buffer overflow error (RX_OVER_F), transmit buffer overflow error (TX_OVER_F), receiver retry over limit error (RX_OVER_ERETRY) and transmitter retry over limit error (TX_OVER_ERETRY). 2 1 read-write 0 INT_TERR Disabled #0 1 INT_TERR Enabled #1 TMR0_IE Timer0 Interrupt Enable\nThis field is used for TMR0 interrupt enable. 3 1 read-write 0 INT_TMR0 Disabled #0 1 INT_TMR0 Enabled #1 TMR1_IE Timer1 Interrupt Enable\nThis field is used for TMR1 interrupt enable. 4 1 read-write 0 INT_TMR1 Disabled #0 1 INT_TMR1 Enabled #1 TMR2_IE Timer2 Interrupt Enable\nThis field is used for TMR2 interrupt enable. 5 1 read-write 0 INT_TMR2 Disabled #0 1 INT_TMR2 Enabled #1 SC_ISR SC_ISR SC Interrupt Status Register. 0x1C -1 read-write n 0x0 0x0 ACON_ERR_IS Auto Convention Error Interrupt Status Flag (Read Only) This field indicates auto convention sequence error. If the received TS at ATR state is not 0x3B or 0x3F, this bit will be set. Note: This bit is read only, but can be cleared by writing 1 to it. 10 1 read-only BGT_IS Block Guard Time Interrupt Status Flag (Read Only) This field is used for block guard time interrupt status flag. Note: This bit is read only, but it can be cleared by writing 1 to it. 6 1 read-only CD_IS Card Detect Interrupt Status Flag (Read Only) This field is used for card detect interrupt status flag. The card detect status register is SC_PINCSR [CD_INS_F] and SC_PINCSR [CD_REM_F]. Note: This field is the status flag of SC_PINCSR [CD_INS_F] or SC_PINCSR [CD_REM_F]. So if software wants to clear this bit, software must write 1 to this field. 7 1 read-only INIT_IS Initial End Interrupt Status Flag (Read Only) This field is used for activation (SC_ALTCTL [ACT_EN]), deactivation (SC_ALTCTL [DACT_EN]) and warm reset (SC_ALTCTL [WARST_EN]) sequence interrupt status flag. Note: This bit is read only, but it can be cleared by writing 1 to it. 8 1 read-only RDA_IS Receive Data Reach Interrupt Status Flag (Read Only)\nThis field is used for received data reaching trigger level (SC_CTL [RX_FTRI_LEV]) interrupt status flag.\nNote: This field is the status flag of received data reaching SC_CTL [RX_FTRI_LEV]. If software reads data from SC_RBR and receiver pointer is less than SC_CTL [RX_FTRI_LEV], this bit will be cleared automatically. 0 1 read-only RTMR_IS Receiver buffer Time-Out Interrupt Status Flag (Read Only)\nThis field is used for receiver buffer time-out interrupt status flag.\nNote: This field is the status flag of receiver buffer time-out state. If software wants to clear this bit, software must read the receiver buffer remaining data by reading SC_RBR register, 9 1 read-only TBE_IS Transmit Buffer Empty Interrupt Status Flag (Read Only) This field is used for transmit buffer empty interrupt status flag. This bit is different with SC_TRSR [TX_EMPTY_F] flag and SC_TRSR [TX_ATV] flag The TX_EMPTY_F will be set when the last byte data be read to shift register and TX_ATV flag indicates the transmitter is in active or not (the last data has been transmitted or not), but the TBE_IS may be set when the last byte data be read to shift register or the last data has been transmitted. When this bit assert, software can write 1~4 byte data to SC_THR register. Note: If software wants to clear this bit, software must write data to SC_THR register and then this bit will be cleared automatically. 1 1 read-only TERR_IS Transfer Error Interrupt Status Flag (Read Only) This field is used for transfer error interrupt status flag. The transfer error states is at SC_TRSR register which includes receiver break error (RX_EBR_F), frame error (RX_EFR_F), parity error (RX_EPA_F) and receiver buffer overflow error (RX_OVER_F), transmit buffer overflow error (TX_OVER_F), receiver retry over limit error (RX_OVER_ERETRY) and transmitter retry over limit error (TX_OVER_ERETRY). Note: This field is the status flag of SC_TRSR [RX_EBR_F], SC_TRSR [RX_EFR_F], SC_TRSR [RX_EPA_F], SC_TRSR [RX_OVER_F], SC_TRSR [TX_OVER_F], SC_TRSR [RX_OVER_ERETRY] or SC_TRSR [TX_OVER_ERETRY]. So if software wants to clear this bit, software must write 1 to each field. 2 1 read-only TMR0_IS Timer0 Interrupt Status Flag (Read Only) This field is used for TMR0 interrupt status flag. Note: This bit is read only, but it can be cleared by writing 1 to it. 3 1 read-only TMR1_IS Timer1 Interrupt Status Flag (Read Only) This field is used for TMR1 interrupt status flag. Note: This bit is read only, but it can be cleared by writing 1 to it. 4 1 read-only TMR2_IS Timer2 Interrupt Status Flag (Read Only) This field is used for TMR2 interrupt status flag. Note: This bit is read only, but it can be cleared by writing 1 to it. 5 1 read-only SC_PINCSR SC_PINCSR SC Pin Control State Register. 0x24 read-write n 0x0 0x0 ADAC_CD_EN Auto Deactivation When Card Removal\nNote1: When the card is removal, hardware will stop any process and then do deactivation sequence (if this bit be setting). If this process completes. Hardware will generate an interrupt INT_INIT to CPU. 7 1 read-write 0 Auto deactivation Disabled when hardware detected the card is removal #0 1 Auto deactivation Enabled when hardware detected the card is removal #1 CD_INS_F Card Detect Insert Status Of SC_CD Pin (Read Only) This bit is set whenever card has been inserted. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: Card detect engine will start after SC_CTL [SC_CEN] set. 3 1 read-only 0 No effect #0 1 Card insert #1 CD_LEV Card Detect Level\n\nNote: Software must select card detect level before Smart Card engine enable 10 1 read-write 0 When hardware detects the card detect pin from high to low, it indicates a card is detected #0 1 When hardware detects the card detect pin from low to high, it indicates a card is detected #1 CD_PIN_ST Card Detect Status Of SC_CD Pin Status (Read Only)\nThis bit is the pin status flag of SC_CD 4 1 read-only 0 SC_CD pin state at low #0 1 SC_CD pin state at high #1 CD_REM_F Card Detect Removal Status Of SC_CD Pin (Read Only) This bit is set whenever card has been removal. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: Card detect engine will start after SC_CTL [SC_CEN] set. 2 1 read-only 0 No effect #0 1 Card Removal #1 CLK_KEEP SC Clock Enable \nNote: When operation at activation, warm reset or deactivation mode, this bit will be changed automatically. So don't fill this field When operating in these modes. 6 1 read-write 0 SC clock generation Disabled #0 1 SC clock always keeps free running #1 CLK_STOP_LEV SC Clock Stop Level\nThis field indicates the clock polarity control in clock stop mode. 5 1 read-write 0 SC_CLK stopped in low level #0 1 SC_CLK stopped in high level #1 POW_EN SC_POW_EN Pin Signal\nThis bit is the pin status of SC_POW_EN but user can drive SC_POW_EN pin to high or low by setting this bit.\nNote: When operation at activation, warm reset or deactivation mode, this bit will be changed automatically. So don't fill this field When operating in these modes. 0 1 read-write 0 Drive SC_POW_EN pin to low #0 1 Drive SC_POW_EN pin to high #1 SC_DATA_I_ST SC Data Input Pin Status (Read Only)\nThis bit is the pin status of SC_DATA_I 16 1 read-only 0 The SC_DATA_I pin is low #0 1 The SC_DATA_I pin is high #1 SC_DATA_O Output of SC Data Pin This bit is the pin status of SC data output but user can drive this pin to high or low by setting this bit. Note: When SC is at activation, warm re set or deactivation mode, this bit will be changed automatically. So don't fill this field when SC is in these modes. 9 1 read-write 0 Drive SC data output pin to low #0 1 Drive SC data output pin to high #1 SC_OEN_ST SC Data Pin Output Enable Status (Read Only) 8 1 read-only 0 SC data output enable pin status is at low #0 1 SC data output enable pin status is at high #1 SC_RST SC_RST Pin Signal\nThis bit is the pin status of SC_RST but user can drive SC_RST pin to high or low by setting this bit.\nNote: When operation at activation, warm reset or deactivation mode, this bit will be changed automatically. So don't fill this field When operating in these modes. 1 1 read-write 0 Drive SC_RST pin to low #0 1 Drive SC_RST pin to high #1 SC_RBR SC_RBR SC Receiving Buffer Register (Read Only). 0x0 read-only n 0x0 0x0 RBR Receive Buffer Register\nBy reading this register, the SC will return an 8-bit received data. 0 8 read-only SC_RFTMR SC_RFTMR SC Receive Buffer Time-Out Register. 0x10 read-write n 0x0 0x0 RFTM SC Receiver Buffer Time-Out Register (ETU Based) The time-out counter resets and starts counting whenever the RX buffer received a new data word. Once the counter decrease to 1 and no new data is received or CPU does not read data by reading SC_RBR register, a receiver time-out interrupt INT_RTMR will be generated(if SC_IER[RTMR_IE] is high). Note1: The counter is ETU based and the real count value is RFTM + 1 Note2: Fill all 0 to this field to disable this function. 0 9 read-write SC_TDRA SC_TDRA SC Timer Current Data Register A. 0x38 -1 read-only n 0x0 0x0 TDR0 Timer0 Current Data Register (Read Only)\nThis field indicates the current count values of timer0. 0 24 read-only SC_TDRB SC_TDRB SC Timer Current Data Register B. 0x3C -1 read-only n 0x0 0x0 TDR1 Timer1 Current Data Register (Read Only)\nThis field indicates the current count values of timer1. 0 8 read-only TDR2 Timer2 Current Data Register (Read Only)\nThis field indicates the current count values of timer2. 8 8 read-only SC_THR SC_THR SC Transmit Holding Register. SC_RBR 0x0 write-only n 0x0 0x0 THR Transmit Holding Register\nBy writing to this register, the SC will send out an 8-bit data.\nNote: If SC_CTL [SC_CEN] not enabled, this register can not be programmed. 0 8 write-only SC_TMR0 SC_TMR0 SC Internal Timer Control Register 0. 0x28 read-write n 0x0 0x0 CNT Timer 0 Counter Value Register (ETU Base)\nThis field indicates the internal timer operation values. 0 24 read-write MODE Timer 0 Operation Mode Selection 24 4 read-write SC_TMR1 SC_TMR1 SC Internal Timer Control Register 1. 0x2C read-write n 0x0 0x0 CNT Timer 1 Counter Value Register (ETU Base)\nThis field indicates the internal timer operation values. 0 8 read-write MODE Timer 1 Operation Mode Selection 24 4 read-write SC_TMR2 SC_TMR2 SC Internal Timer Control Register 2. 0x30 read-write n 0x0 0x0 CNT Timer 2 Counter Value Register (ETU Base)\nThis field indicates the internal timer operation values. 0 8 read-write MODE Timer 2 Operation Mode Selection 24 4 read-write SC_TRSR SC_TRSR SC Transfer Status Register. 0x20 -1 read-write n 0x0 0x0 RX_ATV Receiver In Active Status Flag (Read Only)\nThis bit is set by hardware when RX transfer is in active.\nThis bit is cleared automatically when RX transfer is finished. 23 1 read-only RX_EBR_F Receiver Break Error Status Flag (Read Only) This bit is set to a logic 1 whenever the received data input (RX) held in the spacing state (logic 0 ) is longer than a full word transmission time (that is, the total time of start bit + data bits + parity + stop bits). . Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: If CPU sets receiver retries function by setting SC_CTL [RX_ERETRY_EN] register, hardware will not set this flag. 6 1 read-only RX_EFR_F Receiver Frame Error Status Flag (Read Only) This bit is set to logic 1 whenever the received character does not have a valid stop bit (that is, the stop bit following the last data bit or parity bit is detected as a logic 0 ). Note1: This bit is read only, but can be cleared by writing 1 to it. Note2: If CPI sets receiver retries function by setting SC_CTL [RX_ERETRY_EN] register, hardware will not set this flag. 5 1 read-only RX_EMPTY_F Receiver Buffer Empty Status Flag(Read Only)\nThis bit indicates RX buffer empty or not.\nWhen the last byte of RX buffer has been read by CPU, hardware sets this bit high. It will be cleared when SC receives any new data. 1 1 read-only RX_EPA_F Receiver Parity Error Status Flag (Read Only) This bit is set to logic 1 whenever the received character does not have a valid parity bit . Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: If CPU sets receiver retries function by setting SC_CTL [RX_ERETRY_EN] register, hardware will not set this flag. 4 1 read-only RX_ERETRY_F Receiver Retry Error (Read Only) This bit is set by hardware when RX has any error and retries transfer. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2 This bit is a flag and can not generate any interrupt to CPU. Note3: If CPU enables receiver retry function by setting SC_CTL [RX_ERETRY_EN] register, the RX_EPA_F flag will be ignored (hardware will not set RX_EPA_F). 21 1 read-only RX_FULL_F Receiver Buffer Full Status Flag (Read Only)\nThis bit indicates RX buffer full or not.\nThis bit is set when RX pointer is equal to 4, otherwise it is cleared by hardware. 2 1 read-only RX_OVER_ERETRY Receiver Over Retry Error (Read Only) This bit is set by hardware when RX transfer error retry over retry number limit. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: If CPU enables receiver retries function by setting SC_CTL [RX_ERETRY_EN] register, the RX_EPA_F flag will be ignored (hardware will not set RX_EPA_F). 22 1 read-only RX_OVER_F RX Overflow Error Status Flag (Read Only) This bit is set when RX buffer overflow. If the number of received bytes is greater than RX Buffer (SC_RBR) size, 4 bytes of SC, this bit will be set. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: The overwrite data will be ignored. 0 1 read-only RX_POINT_F Receiver Buffer Pointer Status Flag (Read Only)\nThis field indicates the RX buffer pointer status flag. When SC receives one byte from external device, RX_POINT_F increases one. When one byte of RX buffer is read by CPU, RX_POINT_F decreases one. 16 3 read-only TX_ATV Transmit In Active Status Flag (Read Only)\nThis bit is set by hardware when TX transfer is in active or the last byte transmission has not completed.\nThis bit is cleared automatically when TX transfer is finished and the STOP bit (include guard time) has been transmitted. 31 1 read-only TX_EMPTY_F Transmit buffer Empty Status Flag (Read Only)\nThis bit indicates TX buffer empty or not.\nWhen the last byte of TX buffer has been transferred to Transmitter Shift Register, hardware sets this bit high. It will be cleared when writing data into SC_THR (TX buffer not empty). 9 1 read-only TX_ERETRY_F Transmitter Retry Error (Read Only) This bit is set by hardware when transmitter re-transmits. Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2 This bit is a flag and can not generate any interrupt to CPU. 29 1 read-only TX_FULL_F Transmit buffer Full Status flag (Read Only)\nThis bit indicates TX buffer full or not.\nThis bit is set when TX pointer is equal to 4, otherwise is cleared by hardware. 10 1 read-only TX_OVER_ERETRY Transmitter Over Retry Error (Read Only) This bit is set by hardware when transmitter re-transmits over retry number limitation. Note: This bit is read only, but it can be cleared by writing 1 to it. 30 1 read-only TX_OVER_F TX Overflow Error Interrupt Status Flag (Read Only) Note1: This bit is read only, but it can be cleared by writing 1 to it. Note2: The additional write data will be ignored. 8 1 read-only TX_POINT_F Transmit Buffer Pointer Status Flag (Read Only)\nThis field indicates the TX buffer pointer status flag. When CPU writes data into SC_THR, TX_POINT_F increases one. When one byte of TX Buffer is transferred to transmitter shift register, TX_POINT_F decreases one. 24 3 read-only SC_UACTL SC_UACTL SC UART Mode Control Register. 0x34 read-write n 0x0 0x0 DATA_LEN Data Length 4 2 read-write OPE Odd Parity Enable\nNote: This bit has effect only when PBDIS bit is '0'. 7 1 read-write 0 Even number of logic 1's are transmitted or check the data word and parity bits in receiving mode #0 1 Odd number of logic 1's are transmitted or check the data word and parity bits in receiving mode #1 PBDIS Parity Bit Disable\nNote: In Smart Card mode, this field must be '0' (default setting is with parity bit) 6 1 read-write 0 Parity bit is generated or checked between the last data word bit and stop bit of the serial data #0 1 Parity bit is not generated (transmitting data) or checked (receiving data) during transfer #1 UA_MODE_EN UART Mode Enable Note1: When operating in UART mode, user must set SCx_CTL [CON_SEL] and SCx_CTL [AUTO_CON_EN] to 0 . Note2: When operating in smart card mode, user must set SCx_UACTL [7:0] register to 0 . Note3: When UART is enabled, hardware will generate a reset to reset internal buffer and internal state machine. 0 1 read-write 0 Smart Card mode #0 1 UART mode #1 SCS SCS Register Map SCS 0x0 0x10 0xC registers n 0x100 0x4 registers n 0x180 0x4 registers n 0x200 0x4 registers n 0x280 0x4 registers n 0x400 0x20 registers n 0xD00 0x8 registers n 0xD10 0x4 registers n 0xD1C 0x8 registers n CPUID CPUID CPUID Base Register 0xD00 -1 read-only n 0x0 0x0 IMPLEMENTER None 24 8 read-only PART Reads as 0xC for ARMv6-M parts 16 4 read-only PARTNO Reads as 0xC20. 4 12 read-only REVISION Reads as 0x0 0 4 read-only ICSR ICSR Interrupt Control State Register 0xD04 read-write n 0x0 0x0 ISRPENDING Indicates if an external configurable (NVIC generated) interrupt is pending. 22 1 read-write ISRPREEMPT If set, a pending exception will be serviced on exit from the debug halt state. 23 1 read-write NMIPENDSET Setting this bit will activate an NMI. Since NMI is the highest priority exception, it will activate as soon as it is registered. Reads back with current state (1 if Pending, 0 if not). 31 1 read-write PENDSTCLR Write 1 to clear a pending SysTick. 25 1 read-write PENDSTSET Set a pending SysTick. Reads back with current state (1 if Pending, 0 if not). 26 1 read-write PENDSVCLR Write 1 to clear a pending PendSV interrupt. 27 1 read-write PENDSVSET Set a pending PendSV interrupt. This is normally used to request a context switch. Reads back with current state (1 if Pending, 0 if not). 28 1 read-write VECTACTIVE If value of VECTACTIVE 1: the exception number for the current executing exception. 0 9 read-write 0 Thread mode 0 VECTPENDING Indicates the exception number for the highest priority pending exception. The pending state includes the effect of memory-mapped enable and mask registers. It does not include the PRIMASK special-purpose register qualifier. A value of zero indicates no pending exceptions. 12 9 read-write NVIC_ICER NVIC_ICER IRQ0~IRQ31 Clear-Enable Control Register 0x180 read-write n 0x0 0x0 CLRENA Disable one or more interrupts within a group of 32. Each bit represents an interrupt number from IRQ0 ~ IRQ31 (Vector number from 16 ~ 47). \nWriting 1 will disable the associated interrupt.\nWriting 0 has no effect.\nThe register reads back with the current enable state. 0 32 read-write NVIC_ICPR NVIC_ICPR IRQ0~IRQ31Clear-Pending Control Register 0x280 read-write n 0x0 0x0 CLRPEND Writing 1 to a bit to remove the pending state of associated interrupt under software control. Each bit represents an interrupt number from IRQ0 ~ IRQ31 (Vector number from 16 ~ 47).\nWriting 0 has no effect.\nThe register reads back with the current pending state. 0 32 read-write NVIC_IPR0 NVIC_IPR0 IRQ0~IRQ3 Priority Control Register 0x400 read-write n 0x0 0x0 PRI_0 Priority of IRQ0 0 denotes the highest priority and 3 denotes the lowest priority. 6 2 read-write PRI_1 Priority of IRQ1 0 denotes the highest priority and 3 denotes the lowest priority. 14 2 read-write PRI_2 Priority of IRQ2 0 denotes the highest priority and 3 denotes the lowest priority. 22 2 read-write PRI_3 Priority of IRQ3 0 denotes the highest priority and 3 denotes the lowest priority. 30 2 read-write NVIC_IPR1 NVIC_IPR1 IRQ4~IRQ7 Priority Control Register 0x404 read-write n 0x0 0x0 PRI_4 Priority of IRQ4 0 denotes the highest priority and 3 denotes the lowest priority. 6 2 read-write PRI_5 Priority of IRQ5 0 denotes the highest priority and 3 denotes the lowest priority. 14 2 read-write PRI_6 Priority of IRQ6 0 denotes the highest priority and 3 denotes the lowest priority. 22 2 read-write PRI_7 Priority of IRQ7 0 denotes the highest priority and 3 denotes the lowest priority. 30 2 read-write NVIC_IPR2 NVIC_IPR2 IRQ8~IRQ11 Priority Control Register 0x408 read-write n 0x0 0x0 PRI_10 Priority of IRQ10 0 denotes the highest priority and 3 denotes the lowest priority. 22 2 read-write PRI_11 Priority of IRQ11 0 denotes the highest priority and 3 denotes the lowest priority. 30 2 read-write PRI_8 Priority of IRQ8 0 denotes the highest priority and 3 denotes the lowest priority. 6 2 read-write PRI_9 Priority of IRQ9 0 denotes the highest priority and 3 denotes the lowest priority. 14 2 read-write NVIC_IPR3 NVIC_IPR3 IRQ12~IRQ15 Priority Control Register 0x40C read-write n 0x0 0x0 PRI_12 Priority of IRQ12 0 denotes the highest priority and 3 denotes the lowest priority. 6 2 read-write PRI_13 Priority of IRQ13 0 denotes the highest priority and 3 denotes the lowest priority. 14 2 read-write PRI_14 Priority of IRQ14 0 denotes the highest priority and 3 denotes the lowest priority. 22 2 read-write PRI_15 Priority of IRQ15 0 denotes the highest priority and 3 denotes the lowest priority. 30 2 read-write NVIC_IPR4 NVIC_IPR4 IRQ16~IRQ19 Priority Control Register 0x410 read-write n 0x0 0x0 PRI_16 Priority of IRQ16 0 denotes the highest priority and 3 denotes the lowest priority. 6 2 read-write PRI_17 Priority of IRQ17 0 denotes the highest priority and 3 denotes the lowest priority. 14 2 read-write PRI_18 Priority of IRQ18 0 denotes the highest priority and 3 denotes the lowest priority. 22 2 read-write PRI_19 Priority of IRQ19 0 denotes the highest priority and 3 denotes the lowest priority. 30 2 read-write NVIC_IPR5 NVIC_IPR5 IRQ20~IRQ23 Priority Control Register 0x414 read-write n 0x0 0x0 PRI_20 Priority of IRQ20 0 denotes the highest priority and 3 denotes the lowest priority. 6 2 read-write PRI_21 Priority of IRQ21 0 denotes the highest priority and 3 denotes the lowest priority. 14 2 read-write PRI_22 Priority of IRQ22 0 denotes the highest priority and 3 denotes the lowest priority. 22 2 read-write PRI_23 Priority of IRQ23 0 denotes the highest priority and 3 denotes the lowest priority. 30 2 read-write NVIC_IPR6 NVIC_IPR6 IRQ24~IRQ27 Priority Control Register 0x418 read-write n 0x0 0x0 PRI_24 Priority of IRQ24 0 denotes the highest priority and 3 denotes the lowest priority. 6 2 read-write PRI_25 Priority of IRQ25 0 denotes the highest priority and 3 denotes the lowest priority. 14 2 read-write PRI_26 Priority of IRQ26 0 denotes the highest priority and 3 denotes the lowest priority. 22 2 read-write PRI_27 Priority of IRQ27 0 denotes the highest priority and 3 denotes the lowest priority. 30 2 read-write NVIC_IPR7 NVIC_IPR7 IRQ28~IRQ31 Priority Control Register 0x41C read-write n 0x0 0x0 PRI_28 Priority of IRQ28 0 denotes the highest priority and 3 denotes the lowest priority. 6 2 read-write PRI_29 Priority of IRQ29 0 denotes the highest priority and 3 denotes the lowest priority. 14 2 read-write PRI_30 Priority of IRQ30 0 denotes the highest priority and 3 denotes the lowest priority. 22 2 read-write PRI_31 Priority of IRQ31 0 denotes the highest priority and 3 denotes the lowest priority. 30 2 read-write NVIC_ISER NVIC_ISER IRQ0~IRQ31 Set-Enable Control Register 0x100 read-write n 0x0 0x0 SETENA Enable one or more interrupts within a group of 32. Each bit represents an interrupt number from IRQ0 ~ IRQ31 (Vector number from 16 ~ 47). \nWriting 1 will enable the associated interrupt.\nWriting 0 has no effect.\nThe register reads back with the current enable state. 0 32 read-write NVIC_ISPR NVIC_ISPR IRQ0~IRQ31 Set-Pending Control Register 0x200 read-write n 0x0 0x0 SETPEND Writing 1 to a bit to set pending state of the associated interrupt under software control. Each bit represents an interrupt number from IRQ0 ~ IRQ31 (Vector number from 16 ~ 47).\nWriting 0 has no effect.\nThe register reads back with the current pending state. 0 32 read-write SCR SCR System Control Register 0xD10 read-write n 0x0 0x0 SEVONPEND When enabled, interrupt transitions from Inactive to Pending are included in the list of wake-up events for the WFE instruction. 4 1 read-write SLEEPDEEP A qualifying hint that indicates waking from sleep might take longer. 2 1 read-write SLEEPONEXIT When set to 1, the core can enter a sleep state on an exception return to Thread mode. This is the mode and exception level entered at reset, the base level of execution. 1 1 read-write SHPR2 SHPR2 System Handler Priority Register 2 0xD1C read-write n 0x0 0x0 PRI_11 Priority of system handler 11 - SVCall 0 denotes the highest priority and 3 denotes the lowest priority. 30 2 read-write SHPR3 SHPR3 System Handler Priority Register 3 0xD20 read-write n 0x0 0x0 PRI_14 Priority of system handler 14 - PendSV 0 denotes the highest priority and 3 denotes the lowest priority. 22 2 read-write PRI_15 Priority of system handler 15 - SysTick 0 denotes the highest priority and 3 denotes the lowest priority. 30 2 read-write SYST_CTL SYST_CTL SysTick Control and Status 0x10 -1 read-write n 0x0 0x0 CLKSRC None 2 1 read-write 0 Clock Source is (optional) external reference clock #0 1 Core clock used for SysTick If no external clock provided, this bit will read as 1 and ignore writes #1 COUNTFLAG Returns 1 if timer counted to 0 since last time this register was read.\n COUNTFLAG is set by a count transition from 1 to 0.\n COUNTFLAG is cleared on read or by a write to the Current Value register. 16 1 read-write ENABLE None 0 1 read-write 0 The counter is Disabled #0 1 The counter will operate in a multi-shot manner #1 TICKINT None 1 1 read-write 0 Counting down to 0 does not cause the SysTick exception to be pended. Software can use COUNTFLAG to determine if a count to zero has occurred #0 1 Counting down to 0 will cause the SysTick exception to be pended. Clearing the SysTick Current Value register by a register write in software will not cause SysTick to be pended #1 SYST_CVR SYST_CVR SysTick Current Value Register 0x18 read-write n 0x0 0x0 CURRENT Current counter value. This is the value of the counter at the time it is sampled. The counter does not provide read-modify-write protection. The register is write-clear. A software write of any value will clear the register to 0. Unsupported bits RAZ (Read As Zero, writes ignore) (See SysTick Reload Value register). 0 24 read-write SYST_RVR SYST_RVR SysTick Reload Value Register 0x14 read-write n 0x0 0x0 RELOAD The value to load into the Current Value register when the counter reaches 0. 0 24 read-write SPI0 SPI Register Map SPI 0x0 0x0 0x18 registers n 0x20 0x8 registers n 0x34 0xC registers n 0x50 0x4 registers n SPI_CLKDIV SPI_CLKDIV SPI Clock Divider Register 0x8 read-write n 0x0 0x0 DIVIDER1 Clock Divider 1 Register \nThe value in this field is the 1th frequency divider of the PCLK to generate the serial clock of SPI_SCLK. The desired frequency is obtained according to the following equation: \nWhere\n is the SPI engine clock source. It is defined in the CLK_SEL1. 0 8 read-write DIVIDER2 Clock Divider 2 Register \nThe value in this field is the 2nd frequency divider of the PCLK to generate the serial clock of SPI_SCLK. The desired frequency is obtained according to the following equation: 16 8 read-write SPI_CTL SPI_CTL SPI Control Register 0x0 -1 read-write n 0x0 0x0 CLKP Clock Polarity 11 1 read-write 0 The default level of SCLK is low in idle state #0 1 The default level of SCLK is high in idle state #1 DUAL_IO_DIR Dual IO Mode Direction 28 1 read-write 0 Date read in the Dual I/O Mode function #0 1 Data write in the Dual I/O Mode function #1 DUAL_IO_EN Dual IO Mode Enable 29 1 read-write 0 Dual I/O Mode function Disabled #0 1 Dual I/O Mode function Enabled #1 FIFOM FIFO Mode Enable Note: Before enabling FIFO mode, the other related settings should be set in advance. In Master mode, if the FIFO mode is enabled, the GO_BUSY bit will be set 1 automatically after the data was written into the 8-depth FIFO. The user can clear this FIFO bit after the transmit FIFO status is empty and the GO_BUSY back to 0. 21 1 read-write 0 Normal mode #0 1 FIFO mode #1 GO_BUSY SPI Transfer Control Bit and Busy Status If the FIFO mode is disabled, during the data transfer, this bit keeps the value of '1'. As the transfer is finished, this bit will be cleared automatically. Software can read this bit to check if the SPI is in busy status. In FIFO mode, this bit will be controlled by hardware. Software should not modify this bit. In slave mode, this bit always returns 1 when software reads this register. In master mode, this bit reflects the busy or idle status of SPI. Note: When FIFO mode is disabled, all configurations should be set before writing 1 to the GO_BUSY bit in the SPI_CTL register. When FIFO bit is disabled and the software uses TX or RX PDMA function to transfer data, this bit will be cleared after the PDMA controller finishes the data transfer. 0 1 read-write 0 Writing this bit 0 will stop data transfer if SPI is transferring #0 1 In Master mode, writing 1 to this bit will start the SPI data transfer In Slave mode, writing '1' to this bit indicates that the salve is ready to communicate with a master #1 INTEN Interrupt Enable 17 1 read-write 0 SPI Interrupt Disabled #0 1 SPI Interrupt Enabled #1 LSB Send LSB First 10 1 read-write 0 The MSB, which bit of transmit/receive register depends on the setting of TX_BITLEN, is transmitted/received first #0 1 The LSB, bit 0 of the SPI_TX0/1, is sent first to the the SPI data output pin, and the first bit received from the SPI data input pin will be put in the LSB position of the SPI_RX register (SPI_RX0/1) #1 REORDER Byte Reorder Function Enable 19 1 read-write RX_NEG Receive At Negative Edge 1 1 read-write 0 The received data is latched on the rising edge of SPI_SCLK #0 1 The received data is latched on the falling edge of SPI_SCLK #1 SLAVE Slave Mode 18 1 read-write 0 SPI controller set as Master mode #0 1 SPI controller set as Slave mode #1 SP_CYCLE Suspend Interval (Master Only)\nIf the Variable Clock function is enabled, the minimum period of suspend interval (the transmit data in FIFO buffer is not empty) between the successive transaction is (6.5 + SP_CYCLE) * SPICLK clock cycle. 12 4 read-write TWOB 2-bit Transfer Mode Active\nNote that when enabling TWOB, the serial transmitted 2-bits data are from SPI_TX1/0, and the received 2-bits data input are put into SPI_RX1/0. 22 1 read-write 0 2-bit transfer mode Disabled #0 1 2-bit transfer mode Enabled #1 TX_BIT_LEN Transmit Bit Length 3 5 read-write TX_NEG Transmit At Negative Edge 2 1 read-write 0 The transmitted data output is changed on the rising edge of SPI_SCLK #0 1 The transmitted data output is changed on the falling edge of SPI_SCLK #1 VARCLK_EN Variable Clock Enable\nNote: When this VARCLK_EN bit is set to 1, the setting of TX_BIT_LEN must be programmed as 0x10 (16-bit mode). 23 1 read-write 0 The serial clock output frequency is fixed and only decided by the value of DIVIDER1 #0 1 The serial clock output frequency is variable. The output frequency is decided by the value of VARCLK (SPI_VARCLK), DIVIDER1, and DIVIDER2 #1 WKEUP_EN Wake-Up Enable\nWhen the system enters Power-down mode, the system can be wake-up from the SPI controller when this bit is enabled and if there is any toggle in the SPICLK port. After the system wake-up, this bit must be cleared by user to disable the wake-up requirement. 31 1 read-write 0 Wake-up function Disabled when the system enters Power-down mode #0 1 Wake-up function Enabled #1 SPI_DMA SPI_DMA SPI DMA Control Register 0x38 read-write n 0x0 0x0 PDMA_RST PDMA Reset It is used to reset the SPI PDMA function into default state. Note: it is auto cleared to 0 after the reset function done. 2 1 read-write 0 After reset PDMA function or in normal operation #0 1 Reset PDMA function #1 RX_DMA_EN Receiving PDMA Enable(PDMA Reads SPI Data to Memory) Set this bit to 1 will start the receive PDMA process. SPI controller will issue request to PDMA controller automatically when there is data written into the received buffer or the status of RX_EMPTY status is set to 0 in FIFO mode. If using the RX_PDMA mode to receive data but TX_DMA is disabled, the GO_BUSY bit shall be set by user. Hardware will clear this bit to 0 automatically after PDMA transfer done. In Slave mode and the FIFO bit is disabled, if the receive PDMA is enabled but the transmit PDMA is disabled, the minimal suspend interval between two successive transactions input is need to be larger than 9 SPI slave engine clock + 4 APB clock for edge mode and 9.5 SPI slave engine clock + 4 APB clock. 1 1 read-write TX_DMA_EN Transmit PDMA Enable (PDMA Writes Data to SPI) Set this bit to 1 will start the transmit PDMA process. SPI controller will issue request to PDMA controller automatically. If using PDMA mode to transfer data, remember not to set GO_BUSY bit of SPI_CNTRL register. The DMA controller inside SPI controller will set it automatically whenever necessary. Note: 1. Two transaction need minimal 18 APB clock + 8 SPI serial clocks suspend interval in master mode for edge mode and 18 APB clock + 9.5 serial clocks for lev 2. If the 2-bit function is enabled, the requirement timing shall append 18 APB clock based on the above clock period. Hardware will clear this bit to 0 automatically after PDMA transfer done. 0 1 read-write SPI_FFCTL SPI_FFCTL SPI FIFO Control Register 0x3C read-write n 0x0 0x0 RXINT_EN RX Threshold Interrupt Enable 2 1 read-write 0 Rx threshold interrupt Disabled #0 1 RX threshold interrupt Enable #1 RXOVINT_EN RX FIFO Over Run Interrupt Enable 4 1 read-write 0 RX FIFO over run interrupt Disabled #0 1 RX FIFO over run interrupt Enabled #1 RX_CLR Receiving FIFO Counter Clear This bit is used to clear the receiver counter in FIFO Mode. This bit can be written 1 to clear the receiver counter and this bit will be cleared to 0 automatically after clearing receiving counter. After the clear operation, the flag of RX_EMPTY in SPI_STATUS[0] will be set to 1 . 0 1 read-write RX_THRESHOLD Received FIFO Threshold 3-bits register, value from 0 ~7. If RX valid data counts large than RXTHRESHOLD, RXINT_STS will set to 1, else RXINT_STS will set to 0. 24 3 read-write TIMEOUT_EN RX Read timeout function enable 7 1 read-write 0 RX read Timeout function Disabled #0 1 RX read Timeout function Enabled #1 TXINT_EN TX Threshold Interrupt Enable 3 1 read-write 0 Tx threshold interrupt Disabled #0 1 TX threshold interrupt Enable #1 TX_CLR Transmitting FIFO Counter Clear This bit is used to clear the transmit counter in FIFO Mode. This bit can be written 1 to clear the transmitting counter and this bit will be cleared to 0 automatically after clearing transmitting counter. After the clear operation, the flag of TX_EMPTY in SPI_STATUS[2] will be set to 1 . 1 1 read-write TX_THRESHOLD Transmit FIFO Threshold 3-bit register, value from 0 ~7. If TX valid data counts small or equal than TXTHRESHOLD, TXINT_STS will set to 1, else TXINT_STS will set to 0. 28 3 read-write SPI_INTERNAL SPI_INTERNAL SPI INTERNAL Register 0x50 read-write n 0x0 0x0 SPI_RX0 SPI_RX0 SPI Receive Data FIFO Register 0 0x10 read-only n 0x0 0x0 RDATA Receive Data FIFO Register\nThe received data can be read on it. If the FIFO bit is set as 1, the user also checks the RX_EMPTY, SPI_STATUS[0], to check if there is any more received data or not. \nNote: These registers are read only. 0 32 read-only SPI_RX1 SPI_RX1 SPI Receive Data FIFO Register 1 0x14 read-write n 0x0 0x0 SPI_SSR SPI_SSR SPI Slave Select Register 0xC read-write n 0x0 0x0 AUTOSS Automatic Slave Selection (Master Only) 3 1 read-write 0 If this bit is set as 0 , slave select signals are asserted and de-asserted by setting and clearing related bits in SSR[1:0] register #0 1 If this bit is set as 1 , SPISS[1:0] signals are generated automatically. It means that device/slave select signal, which is set in SSR[1:0] register is asserted by the SPI controller when transmit/receive is started, and is de-asserted after each transaction is done #1 NOSLVSEL No Slave Selected in Slave Mode This is used to ignore the slave select signal in Slave mode. The SPI controller can work on 3 wire interface including SPICLK, SPI_MISO, and SPI_MOSI when it is set as a slave device. Note: In no slave select signal mode, the SS_LTRIG, SPI_SSR[4], shall be set as 1 . 5 1 read-write 0 The controller is 4-wire bi-direction interface #0 1 The controller is 3-wire bi-direction interface in Slave mode. When this bit is set as 1, the controller start to transmit/receive data after the GO_BUSY bit active and the serial clock input #1 SLV_ABORT Abort in Slave Mode with No Slave Selected In normal operation, there is interrupt event when the received data meet the required bits which define in TX_BIT_LEN. If the received bits are less than the requirement and there is no more serial clock input over the time period which is defined by user in slave mode with no slave select, the user can set this bit to force the current transfer done and then the user can get a transfer done interrupt event. Note: It is auto cleared to 0 by hardware when the abort event is active. 8 1 read-write SSR Slave Select Active Register (Master Only) If AUTOSS bit is cleared, writing 1 to SSR[0] bit sets the SPISS[0] line to an active state and writing 0 sets the line back to inactive state.(the same as SSR[1] for SPISS[1]) If AUTOSS bit is set, writing 1 to any bit location of this field will select appropriate SPISS[1:0] line to be automatically driven to active state for the duration of the transaction, and will be driven to inactive state for the rest of the time. (The active level of SPISS[1:0] is specified in SS_LVL). Note: 1. This interface can only drive one device/slave at a given time. Therefore, the slaves select of the selected device must be set to its active level before starting any read or write transfer. 2. SPISS[0] is also defined as device/slave select input in Slave mode. And that the slave select input must be driven by edge active trigger which level depend on the SS_LVL setting, otherwise the SPI slave core will go into dead path until the edge active triggers again or reset the SPI core by software. 0 2 read-write SSTA_INTEN Slave Start Interrupt Enable\nIt is used to enable interrupt when the transfer has started in Slave mode with no slave select. If there is no transfer done interrupt over the time period which is defined by user after the transfer start, the user can set the SLV_ABORT bit to force the transfer done. 9 1 read-write 0 Tansfer start interrupt Disabled #0 1 Transaction start interrupt Enabled. It is cleared when the current transfer done or the SLV_START_INTSTS bit cleared (write one clear) #1 SS_INT_OPT Slave Select Interrupt Option \nIt is used to enable the interrupt when the transfer has done in slave mode. 16 1 read-write 0 No any interrupt, even there is slave select inactive event #0 1 There is interrupt event when the slave select is inactive. It is used to inform the user the transaction has finished and the slave select into the inactive state #1 SS_LTRIG Slave Select Level Trigger 4 1 read-write 0 The input slave select signal is edge-trigger #0 1 The slave select signal will be level-trigger. It depends on SS_LVL to decide the signal is active low or active high #1 SS_LVL Slave Select Active Level\nIt defines the active level of device/slave select signal (SPISS[1:0]). 2 1 read-write 0 The SPI_SS slave select signal is active Low #0 1 The SPI_SS slave select signal is active High #1 SPI_STATUS SPI_STATUS SPI Status Register 0x4 -1 read-write n 0x0 0x0 INTSTS Interrupt Status Note: This bit is read only, but can be cleared by writing 1 to this bit. 7 1 read-write 0 Transfer is not finished yet #0 1 Transfer is done. The interrupt is requested when the INTEN bit is enabled #1 LTRIG_FLAG Level Trigger Accomplish Flag (INTERNAL ONLY)\nIn Slave mode, this bit indicates whether the received bit number meets the requirement or not after the current transaction done.\nNote: This bit is READ only. As the software sets the GO_BUSY bit to 1, the LTRIG_FLAG will be cleared to 0 after 4 SPI engine clock periods plus 1 system clock period. In FIFO mode, this bit is unmeaning. 4 1 read-write 0 The transferred bit length of one transaction does not meet the specified requirement #0 1 The transferred bit length meets the specified requirement which defined in TX_BIT_LEN #1 RXINT_STS RX FIFO Threshold Interrupt Status (Read Only) 8 1 read-only 0 RX valid data counts small or equal than RXTHRESHOLD #0 1 RX valid data counts bigger than RXTHRESHOLD #1 RX_EMPTY Received FIFO_EMPTY Status 0 1 read-write 0 Received data FIFO is not empty in the dual FIFO mode #0 1 Received data FIFO is empty in the dual FIFO mode #1 RX_FIFO_CNT Data counts in RX FIFO (Read Only) 16 4 read-only RX_FULL Received FIFO_FULL Status 1 1 read-write 0 Received data FIFO is not full in dual FIFO mode #0 1 Received data FIFO is full in the dual FIFO mode #1 RX_OVER_RUN RX FIFO Over Run Status\nIf SPI receives data when RX FIFO is full, this bit will set to 1, and the received data will dropped.\nNote: This bit will be cleared by writing 1 to itself. 9 1 read-write SLV_START_INTSTS Slave Start Interrupt Status\nIt is used to dedicate that the transfer has started in Slave mode with no slave select. 6 1 read-write 0 Slave started transfer no active #0 1 Transfer has started in Slave mode with no slave select. It is auto clear by transfer done or writing one clear #1 TIME_OUT_STS TIMEOUT Interrupt Flag\nNote: This bit will be cleared by writing 1 to itself. 12 1 read-write 0 There is not timeout event on the received buffer #0 1 RX fifo is not empty and there is not be read over the 64 SPI_CLK period in master mode and over the 576 ECLK period in slave mode. When the received fifo is read by user, the timeout status will be cleared automatically #1 TXINT_STS TX FIFO Threshold Interrupt Status (Read Only) 10 1 read-only 0 TX valid data counts bigger than TXTHRESHOLD #0 1 TX valid data counts small or equal than TXTHRESHOLD #1 TX_EMPTY Transmitted FIFO_EMPTY Status 2 1 read-write 0 Transmitted data FIFO is not empty in the dual FIFO mode #0 1 Transmitted data FIFO is empty in the dual FIFO mode #1 TX_FIFO_CNT Data counts in TX FIFO (Read Only) 20 4 read-only TX_FULL Transmitted FIFO_FULL Status 3 1 read-write 0 Transmitted data FIFO is not full in the dual FIFO mode #0 1 Transmitted data FIFO is full in the dual FIFO mode #1 SPI_TX0 SPI_TX0 SPI Transmit Data FIFO Register 0 0x20 write-only n 0x0 0x0 TDATA Transmit Data FIFO Register\nThe Data Transmit Registers hold the data to be transmitted in the next transfer. The number of valid bits depends on the setting of transmit bit length field of the SPI_CTL register.\nFor example, if TX_BIT_LEN is set to 0x08, the bit SPI_TX[7:0] will be transmitted in next transfer. If TX_BIT_LEN is set to 0x00, the SPI controller will perform a 32-bit transfer.\nNote: When the SPI controller is configured as a slave device and the FIFO mode is disabled, if the SPI controller attempts to transmit data to a master, the software must update the transmit data register before setting the GO_BUSY bit to 1. 0 32 write-only SPI_TX1 SPI_TX1 SPI Transmit Data FIFO Register 1 0x24 read-write n 0x0 0x0 SPI_VARCLK SPI_VARCLK SPI Variable Clock Pattern Flag Register 0x34 -1 read-write n 0x0 0x0 VARCLK Variable Clock Pattern Flag\nThe value in this field is the frequency patterns of the SPICLK. If the bit pattern of VARCLK is '0', the output frequency of SPICLK is according the value of DIVIDER1. If the bit patterns of VARCLK are '1', the output frequency of SPICLK is according the value of DIVIDER2. 0 32 read-write SPI1 SPI Register Map SPI 0x0 0x0 0x18 registers n 0x20 0x8 registers n 0x34 0xC registers n 0x50 0x4 registers n SPI_CLKDIV SPI_CLKDIV SPI Clock Divider Register 0x8 read-write n 0x0 0x0 DIVIDER1 Clock Divider 1 Register \nThe value in this field is the 1th frequency divider of the PCLK to generate the serial clock of SPI_SCLK. The desired frequency is obtained according to the following equation: \nWhere\n is the SPI engine clock source. It is defined in the CLK_SEL1. 0 8 read-write DIVIDER2 Clock Divider 2 Register \nThe value in this field is the 2nd frequency divider of the PCLK to generate the serial clock of SPI_SCLK. The desired frequency is obtained according to the following equation: 16 8 read-write SPI_CTL SPI_CTL SPI Control Register 0x0 -1 read-write n 0x0 0x0 CLKP Clock Polarity 11 1 read-write 0 The default level of SCLK is low in idle state #0 1 The default level of SCLK is high in idle state #1 DUAL_IO_DIR Dual IO Mode Direction 28 1 read-write 0 Date read in the Dual I/O Mode function #0 1 Data write in the Dual I/O Mode function #1 DUAL_IO_EN Dual IO Mode Enable 29 1 read-write 0 Dual I/O Mode function Disabled #0 1 Dual I/O Mode function Enabled #1 FIFOM FIFO Mode Enable Note: Before enabling FIFO mode, the other related settings should be set in advance. In Master mode, if the FIFO mode is enabled, the GO_BUSY bit will be set 1 automatically after the data was written into the 8-depth FIFO. The user can clear this FIFO bit after the transmit FIFO status is empty and the GO_BUSY back to 0. 21 1 read-write 0 Normal mode #0 1 FIFO mode #1 GO_BUSY SPI Transfer Control Bit and Busy Status If the FIFO mode is disabled, during the data transfer, this bit keeps the value of '1'. As the transfer is finished, this bit will be cleared automatically. Software can read this bit to check if the SPI is in busy status. In FIFO mode, this bit will be controlled by hardware. Software should not modify this bit. In slave mode, this bit always returns 1 when software reads this register. In master mode, this bit reflects the busy or idle status of SPI. Note: When FIFO mode is disabled, all configurations should be set before writing 1 to the GO_BUSY bit in the SPI_CTL register. When FIFO bit is disabled and the software uses TX or RX PDMA function to transfer data, this bit will be cleared after the PDMA controller finishes the data transfer. 0 1 read-write 0 Writing this bit 0 will stop data transfer if SPI is transferring #0 1 In Master mode, writing 1 to this bit will start the SPI data transfer In Slave mode, writing '1' to this bit indicates that the salve is ready to communicate with a master #1 INTEN Interrupt Enable 17 1 read-write 0 SPI Interrupt Disabled #0 1 SPI Interrupt Enabled #1 LSB Send LSB First 10 1 read-write 0 The MSB, which bit of transmit/receive register depends on the setting of TX_BITLEN, is transmitted/received first #0 1 The LSB, bit 0 of the SPI_TX0/1, is sent first to the the SPI data output pin, and the first bit received from the SPI data input pin will be put in the LSB position of the SPI_RX register (SPI_RX0/1) #1 REORDER Byte Reorder Function Enable 19 1 read-write RX_NEG Receive At Negative Edge 1 1 read-write 0 The received data is latched on the rising edge of SPI_SCLK #0 1 The received data is latched on the falling edge of SPI_SCLK #1 SLAVE Slave Mode 18 1 read-write 0 SPI controller set as Master mode #0 1 SPI controller set as Slave mode #1 SP_CYCLE Suspend Interval (Master Only)\nIf the Variable Clock function is enabled, the minimum period of suspend interval (the transmit data in FIFO buffer is not empty) between the successive transaction is (6.5 + SP_CYCLE) * SPICLK clock cycle. 12 4 read-write TWOB 2-bit Transfer Mode Active\nNote that when enabling TWOB, the serial transmitted 2-bits data are from SPI_TX1/0, and the received 2-bits data input are put into SPI_RX1/0. 22 1 read-write 0 2-bit transfer mode Disabled #0 1 2-bit transfer mode Enabled #1 TX_BIT_LEN Transmit Bit Length 3 5 read-write TX_NEG Transmit At Negative Edge 2 1 read-write 0 The transmitted data output is changed on the rising edge of SPI_SCLK #0 1 The transmitted data output is changed on the falling edge of SPI_SCLK #1 VARCLK_EN Variable Clock Enable\nNote: When this VARCLK_EN bit is set to 1, the setting of TX_BIT_LEN must be programmed as 0x10 (16-bit mode). 23 1 read-write 0 The serial clock output frequency is fixed and only decided by the value of DIVIDER1 #0 1 The serial clock output frequency is variable. The output frequency is decided by the value of VARCLK (SPI_VARCLK), DIVIDER1, and DIVIDER2 #1 WKEUP_EN Wake-Up Enable\nWhen the system enters Power-down mode, the system can be wake-up from the SPI controller when this bit is enabled and if there is any toggle in the SPICLK port. After the system wake-up, this bit must be cleared by user to disable the wake-up requirement. 31 1 read-write 0 Wake-up function Disabled when the system enters Power-down mode #0 1 Wake-up function Enabled #1 SPI_DMA SPI_DMA SPI DMA Control Register 0x38 read-write n 0x0 0x0 PDMA_RST PDMA Reset It is used to reset the SPI PDMA function into default state. Note: it is auto cleared to 0 after the reset function done. 2 1 read-write 0 After reset PDMA function or in normal operation #0 1 Reset PDMA function #1 RX_DMA_EN Receiving PDMA Enable(PDMA Reads SPI Data to Memory) Set this bit to 1 will start the receive PDMA process. SPI controller will issue request to PDMA controller automatically when there is data written into the received buffer or the status of RX_EMPTY status is set to 0 in FIFO mode. If using the RX_PDMA mode to receive data but TX_DMA is disabled, the GO_BUSY bit shall be set by user. Hardware will clear this bit to 0 automatically after PDMA transfer done. In Slave mode and the FIFO bit is disabled, if the receive PDMA is enabled but the transmit PDMA is disabled, the minimal suspend interval between two successive transactions input is need to be larger than 9 SPI slave engine clock + 4 APB clock for edge mode and 9.5 SPI slave engine clock + 4 APB clock. 1 1 read-write TX_DMA_EN Transmit PDMA Enable (PDMA Writes Data to SPI) Set this bit to 1 will start the transmit PDMA process. SPI controller will issue request to PDMA controller automatically. If using PDMA mode to transfer data, remember not to set GO_BUSY bit of SPI_CNTRL register. The DMA controller inside SPI controller will set it automatically whenever necessary. Note: 1. Two transaction need minimal 18 APB clock + 8 SPI serial clocks suspend interval in master mode for edge mode and 18 APB clock + 9.5 serial clocks for lev 2. If the 2-bit function is enabled, the requirement timing shall append 18 APB clock based on the above clock period. Hardware will clear this bit to 0 automatically after PDMA transfer done. 0 1 read-write SPI_FFCTL SPI_FFCTL SPI FIFO Control Register 0x3C read-write n 0x0 0x0 RXINT_EN RX Threshold Interrupt Enable 2 1 read-write 0 Rx threshold interrupt Disabled #0 1 RX threshold interrupt Enable #1 RXOVINT_EN RX FIFO Over Run Interrupt Enable 4 1 read-write 0 RX FIFO over run interrupt Disabled #0 1 RX FIFO over run interrupt Enabled #1 RX_CLR Receiving FIFO Counter Clear This bit is used to clear the receiver counter in FIFO Mode. This bit can be written 1 to clear the receiver counter and this bit will be cleared to 0 automatically after clearing receiving counter. After the clear operation, the flag of RX_EMPTY in SPI_STATUS[0] will be set to 1 . 0 1 read-write RX_THRESHOLD Received FIFO Threshold 3-bits register, value from 0 ~7. If RX valid data counts large than RXTHRESHOLD, RXINT_STS will set to 1, else RXINT_STS will set to 0. 24 3 read-write TIMEOUT_EN RX Read timeout function enable 7 1 read-write 0 RX read Timeout function Disabled #0 1 RX read Timeout function Enabled #1 TXINT_EN TX Threshold Interrupt Enable 3 1 read-write 0 Tx threshold interrupt Disabled #0 1 TX threshold interrupt Enable #1 TX_CLR Transmitting FIFO Counter Clear This bit is used to clear the transmit counter in FIFO Mode. This bit can be written 1 to clear the transmitting counter and this bit will be cleared to 0 automatically after clearing transmitting counter. After the clear operation, the flag of TX_EMPTY in SPI_STATUS[2] will be set to 1 . 1 1 read-write TX_THRESHOLD Transmit FIFO Threshold 3-bit register, value from 0 ~7. If TX valid data counts small or equal than TXTHRESHOLD, TXINT_STS will set to 1, else TXINT_STS will set to 0. 28 3 read-write SPI_INTERNAL SPI_INTERNAL SPI INTERNAL Register 0x50 read-write n 0x0 0x0 SPI_RX0 SPI_RX0 SPI Receive Data FIFO Register 0 0x10 read-only n 0x0 0x0 RDATA Receive Data FIFO Register\nThe received data can be read on it. If the FIFO bit is set as 1, the user also checks the RX_EMPTY, SPI_STATUS[0], to check if there is any more received data or not. \nNote: These registers are read only. 0 32 read-only SPI_RX1 SPI_RX1 SPI Receive Data FIFO Register 1 0x14 read-write n 0x0 0x0 SPI_SSR SPI_SSR SPI Slave Select Register 0xC read-write n 0x0 0x0 AUTOSS Automatic Slave Selection (Master Only) 3 1 read-write 0 If this bit is set as 0 , slave select signals are asserted and de-asserted by setting and clearing related bits in SSR[1:0] register #0 1 If this bit is set as 1 , SPISS[1:0] signals are generated automatically. It means that device/slave select signal, which is set in SSR[1:0] register is asserted by the SPI controller when transmit/receive is started, and is de-asserted after each transaction is done #1 NOSLVSEL No Slave Selected in Slave Mode This is used to ignore the slave select signal in Slave mode. The SPI controller can work on 3 wire interface including SPICLK, SPI_MISO, and SPI_MOSI when it is set as a slave device. Note: In no slave select signal mode, the SS_LTRIG, SPI_SSR[4], shall be set as 1 . 5 1 read-write 0 The controller is 4-wire bi-direction interface #0 1 The controller is 3-wire bi-direction interface in Slave mode. When this bit is set as 1, the controller start to transmit/receive data after the GO_BUSY bit active and the serial clock input #1 SLV_ABORT Abort in Slave Mode with No Slave Selected In normal operation, there is interrupt event when the received data meet the required bits which define in TX_BIT_LEN. If the received bits are less than the requirement and there is no more serial clock input over the time period which is defined by user in slave mode with no slave select, the user can set this bit to force the current transfer done and then the user can get a transfer done interrupt event. Note: It is auto cleared to 0 by hardware when the abort event is active. 8 1 read-write SSR Slave Select Active Register (Master Only) If AUTOSS bit is cleared, writing 1 to SSR[0] bit sets the SPISS[0] line to an active state and writing 0 sets the line back to inactive state.(the same as SSR[1] for SPISS[1]) If AUTOSS bit is set, writing 1 to any bit location of this field will select appropriate SPISS[1:0] line to be automatically driven to active state for the duration of the transaction, and will be driven to inactive state for the rest of the time. (The active level of SPISS[1:0] is specified in SS_LVL). Note: 1. This interface can only drive one device/slave at a given time. Therefore, the slaves select of the selected device must be set to its active level before starting any read or write transfer. 2. SPISS[0] is also defined as device/slave select input in Slave mode. And that the slave select input must be driven by edge active trigger which level depend on the SS_LVL setting, otherwise the SPI slave core will go into dead path until the edge active triggers again or reset the SPI core by software. 0 2 read-write SSTA_INTEN Slave Start Interrupt Enable\nIt is used to enable interrupt when the transfer has started in Slave mode with no slave select. If there is no transfer done interrupt over the time period which is defined by user after the transfer start, the user can set the SLV_ABORT bit to force the transfer done. 9 1 read-write 0 Tansfer start interrupt Disabled #0 1 Transaction start interrupt Enabled. It is cleared when the current transfer done or the SLV_START_INTSTS bit cleared (write one clear) #1 SS_INT_OPT Slave Select Interrupt Option \nIt is used to enable the interrupt when the transfer has done in slave mode. 16 1 read-write 0 No any interrupt, even there is slave select inactive event #0 1 There is interrupt event when the slave select is inactive. It is used to inform the user the transaction has finished and the slave select into the inactive state #1 SS_LTRIG Slave Select Level Trigger 4 1 read-write 0 The input slave select signal is edge-trigger #0 1 The slave select signal will be level-trigger. It depends on SS_LVL to decide the signal is active low or active high #1 SS_LVL Slave Select Active Level\nIt defines the active level of device/slave select signal (SPISS[1:0]). 2 1 read-write 0 The SPI_SS slave select signal is active Low #0 1 The SPI_SS slave select signal is active High #1 SPI_STATUS SPI_STATUS SPI Status Register 0x4 -1 read-write n 0x0 0x0 INTSTS Interrupt Status Note: This bit is read only, but can be cleared by writing 1 to this bit. 7 1 read-write 0 Transfer is not finished yet #0 1 Transfer is done. The interrupt is requested when the INTEN bit is enabled #1 LTRIG_FLAG Level Trigger Accomplish Flag (INTERNAL ONLY)\nIn Slave mode, this bit indicates whether the received bit number meets the requirement or not after the current transaction done.\nNote: This bit is READ only. As the software sets the GO_BUSY bit to 1, the LTRIG_FLAG will be cleared to 0 after 4 SPI engine clock periods plus 1 system clock period. In FIFO mode, this bit is unmeaning. 4 1 read-write 0 The transferred bit length of one transaction does not meet the specified requirement #0 1 The transferred bit length meets the specified requirement which defined in TX_BIT_LEN #1 RXINT_STS RX FIFO Threshold Interrupt Status (Read Only) 8 1 read-only 0 RX valid data counts small or equal than RXTHRESHOLD #0 1 RX valid data counts bigger than RXTHRESHOLD #1 RX_EMPTY Received FIFO_EMPTY Status 0 1 read-write 0 Received data FIFO is not empty in the dual FIFO mode #0 1 Received data FIFO is empty in the dual FIFO mode #1 RX_FIFO_CNT Data counts in RX FIFO (Read Only) 16 4 read-only RX_FULL Received FIFO_FULL Status 1 1 read-write 0 Received data FIFO is not full in dual FIFO mode #0 1 Received data FIFO is full in the dual FIFO mode #1 RX_OVER_RUN RX FIFO Over Run Status\nIf SPI receives data when RX FIFO is full, this bit will set to 1, and the received data will dropped.\nNote: This bit will be cleared by writing 1 to itself. 9 1 read-write SLV_START_INTSTS Slave Start Interrupt Status\nIt is used to dedicate that the transfer has started in Slave mode with no slave select. 6 1 read-write 0 Slave started transfer no active #0 1 Transfer has started in Slave mode with no slave select. It is auto clear by transfer done or writing one clear #1 TIME_OUT_STS TIMEOUT Interrupt Flag\nNote: This bit will be cleared by writing 1 to itself. 12 1 read-write 0 There is not timeout event on the received buffer #0 1 RX fifo is not empty and there is not be read over the 64 SPI_CLK period in master mode and over the 576 ECLK period in slave mode. When the received fifo is read by user, the timeout status will be cleared automatically #1 TXINT_STS TX FIFO Threshold Interrupt Status (Read Only) 10 1 read-only 0 TX valid data counts bigger than TXTHRESHOLD #0 1 TX valid data counts small or equal than TXTHRESHOLD #1 TX_EMPTY Transmitted FIFO_EMPTY Status 2 1 read-write 0 Transmitted data FIFO is not empty in the dual FIFO mode #0 1 Transmitted data FIFO is empty in the dual FIFO mode #1 TX_FIFO_CNT Data counts in TX FIFO (Read Only) 20 4 read-only TX_FULL Transmitted FIFO_FULL Status 3 1 read-write 0 Transmitted data FIFO is not full in the dual FIFO mode #0 1 Transmitted data FIFO is full in the dual FIFO mode #1 SPI_TX0 SPI_TX0 SPI Transmit Data FIFO Register 0 0x20 write-only n 0x0 0x0 TDATA Transmit Data FIFO Register\nThe Data Transmit Registers hold the data to be transmitted in the next transfer. The number of valid bits depends on the setting of transmit bit length field of the SPI_CTL register.\nFor example, if TX_BIT_LEN is set to 0x08, the bit SPI_TX[7:0] will be transmitted in next transfer. If TX_BIT_LEN is set to 0x00, the SPI controller will perform a 32-bit transfer.\nNote: When the SPI controller is configured as a slave device and the FIFO mode is disabled, if the SPI controller attempts to transmit data to a master, the software must update the transmit data register before setting the GO_BUSY bit to 1. 0 32 write-only SPI_TX1 SPI_TX1 SPI Transmit Data FIFO Register 1 0x24 read-write n 0x0 0x0 SPI_VARCLK SPI_VARCLK SPI Variable Clock Pattern Flag Register 0x34 -1 read-write n 0x0 0x0 VARCLK Variable Clock Pattern Flag\nThe value in this field is the frequency patterns of the SPICLK. If the bit pattern of VARCLK is '0', the output frequency of SPICLK is according the value of DIVIDER1. If the bit patterns of VARCLK are '1', the output frequency of SPICLK is according the value of DIVIDER2. 0 32 read-write SPI2 SPI Register Map SPI 0x0 0x0 0x18 registers n 0x20 0x8 registers n 0x34 0xC registers n 0x50 0x4 registers n SPI_CLKDIV SPI_CLKDIV SPI Clock Divider Register 0x8 read-write n 0x0 0x0 DIVIDER1 Clock Divider 1 Register \nThe value in this field is the 1th frequency divider of the PCLK to generate the serial clock of SPI_SCLK. The desired frequency is obtained according to the following equation: \nWhere\n is the SPI engine clock source. It is defined in the CLK_SEL1. 0 8 read-write DIVIDER2 Clock Divider 2 Register \nThe value in this field is the 2nd frequency divider of the PCLK to generate the serial clock of SPI_SCLK. The desired frequency is obtained according to the following equation: 16 8 read-write SPI_CTL SPI_CTL SPI Control Register 0x0 -1 read-write n 0x0 0x0 CLKP Clock Polarity 11 1 read-write 0 The default level of SCLK is low in idle state #0 1 The default level of SCLK is high in idle state #1 DUAL_IO_DIR Dual IO Mode Direction 28 1 read-write 0 Date read in the Dual I/O Mode function #0 1 Data write in the Dual I/O Mode function #1 DUAL_IO_EN Dual IO Mode Enable 29 1 read-write 0 Dual I/O Mode function Disabled #0 1 Dual I/O Mode function Enabled #1 FIFOM FIFO Mode Enable Note: Before enabling FIFO mode, the other related settings should be set in advance. In Master mode, if the FIFO mode is enabled, the GO_BUSY bit will be set 1 automatically after the data was written into the 8-depth FIFO. The user can clear this FIFO bit after the transmit FIFO status is empty and the GO_BUSY back to 0. 21 1 read-write 0 Normal mode #0 1 FIFO mode #1 GO_BUSY SPI Transfer Control Bit and Busy Status If the FIFO mode is disabled, during the data transfer, this bit keeps the value of '1'. As the transfer is finished, this bit will be cleared automatically. Software can read this bit to check if the SPI is in busy status. In FIFO mode, this bit will be controlled by hardware. Software should not modify this bit. In slave mode, this bit always returns 1 when software reads this register. In master mode, this bit reflects the busy or idle status of SPI. Note: When FIFO mode is disabled, all configurations should be set before writing 1 to the GO_BUSY bit in the SPI_CTL register. When FIFO bit is disabled and the software uses TX or RX PDMA function to transfer data, this bit will be cleared after the PDMA controller finishes the data transfer. 0 1 read-write 0 Writing this bit 0 will stop data transfer if SPI is transferring #0 1 In Master mode, writing 1 to this bit will start the SPI data transfer In Slave mode, writing '1' to this bit indicates that the salve is ready to communicate with a master #1 INTEN Interrupt Enable 17 1 read-write 0 SPI Interrupt Disabled #0 1 SPI Interrupt Enabled #1 LSB Send LSB First 10 1 read-write 0 The MSB, which bit of transmit/receive register depends on the setting of TX_BITLEN, is transmitted/received first #0 1 The LSB, bit 0 of the SPI_TX0/1, is sent first to the the SPI data output pin, and the first bit received from the SPI data input pin will be put in the LSB position of the SPI_RX register (SPI_RX0/1) #1 REORDER Byte Reorder Function Enable 19 1 read-write RX_NEG Receive At Negative Edge 1 1 read-write 0 The received data is latched on the rising edge of SPI_SCLK #0 1 The received data is latched on the falling edge of SPI_SCLK #1 SLAVE Slave Mode 18 1 read-write 0 SPI controller set as Master mode #0 1 SPI controller set as Slave mode #1 SP_CYCLE Suspend Interval (Master Only)\nIf the Variable Clock function is enabled, the minimum period of suspend interval (the transmit data in FIFO buffer is not empty) between the successive transaction is (6.5 + SP_CYCLE) * SPICLK clock cycle. 12 4 read-write TWOB 2-bit Transfer Mode Active\nNote that when enabling TWOB, the serial transmitted 2-bits data are from SPI_TX1/0, and the received 2-bits data input are put into SPI_RX1/0. 22 1 read-write 0 2-bit transfer mode Disabled #0 1 2-bit transfer mode Enabled #1 TX_BIT_LEN Transmit Bit Length 3 5 read-write TX_NEG Transmit At Negative Edge 2 1 read-write 0 The transmitted data output is changed on the rising edge of SPI_SCLK #0 1 The transmitted data output is changed on the falling edge of SPI_SCLK #1 VARCLK_EN Variable Clock Enable\nNote: When this VARCLK_EN bit is set to 1, the setting of TX_BIT_LEN must be programmed as 0x10 (16-bit mode). 23 1 read-write 0 The serial clock output frequency is fixed and only decided by the value of DIVIDER1 #0 1 The serial clock output frequency is variable. The output frequency is decided by the value of VARCLK (SPI_VARCLK), DIVIDER1, and DIVIDER2 #1 WKEUP_EN Wake-Up Enable\nWhen the system enters Power-down mode, the system can be wake-up from the SPI controller when this bit is enabled and if there is any toggle in the SPICLK port. After the system wake-up, this bit must be cleared by user to disable the wake-up requirement. 31 1 read-write 0 Wake-up function Disabled when the system enters Power-down mode #0 1 Wake-up function Enabled #1 SPI_DMA SPI_DMA SPI DMA Control Register 0x38 read-write n 0x0 0x0 PDMA_RST PDMA Reset It is used to reset the SPI PDMA function into default state. Note: it is auto cleared to 0 after the reset function done. 2 1 read-write 0 After reset PDMA function or in normal operation #0 1 Reset PDMA function #1 RX_DMA_EN Receiving PDMA Enable(PDMA Reads SPI Data to Memory) Set this bit to 1 will start the receive PDMA process. SPI controller will issue request to PDMA controller automatically when there is data written into the received buffer or the status of RX_EMPTY status is set to 0 in FIFO mode. If using the RX_PDMA mode to receive data but TX_DMA is disabled, the GO_BUSY bit shall be set by user. Hardware will clear this bit to 0 automatically after PDMA transfer done. In Slave mode and the FIFO bit is disabled, if the receive PDMA is enabled but the transmit PDMA is disabled, the minimal suspend interval between two successive transactions input is need to be larger than 9 SPI slave engine clock + 4 APB clock for edge mode and 9.5 SPI slave engine clock + 4 APB clock. 1 1 read-write TX_DMA_EN Transmit PDMA Enable (PDMA Writes Data to SPI) Set this bit to 1 will start the transmit PDMA process. SPI controller will issue request to PDMA controller automatically. If using PDMA mode to transfer data, remember not to set GO_BUSY bit of SPI_CNTRL register. The DMA controller inside SPI controller will set it automatically whenever necessary. Note: 1. Two transaction need minimal 18 APB clock + 8 SPI serial clocks suspend interval in master mode for edge mode and 18 APB clock + 9.5 serial clocks for lev 2. If the 2-bit function is enabled, the requirement timing shall append 18 APB clock based on the above clock period. Hardware will clear this bit to 0 automatically after PDMA transfer done. 0 1 read-write SPI_FFCTL SPI_FFCTL SPI FIFO Control Register 0x3C read-write n 0x0 0x0 RXINT_EN RX Threshold Interrupt Enable 2 1 read-write 0 Rx threshold interrupt Disabled #0 1 RX threshold interrupt Enable #1 RXOVINT_EN RX FIFO Over Run Interrupt Enable 4 1 read-write 0 RX FIFO over run interrupt Disabled #0 1 RX FIFO over run interrupt Enabled #1 RX_CLR Receiving FIFO Counter Clear This bit is used to clear the receiver counter in FIFO Mode. This bit can be written 1 to clear the receiver counter and this bit will be cleared to 0 automatically after clearing receiving counter. After the clear operation, the flag of RX_EMPTY in SPI_STATUS[0] will be set to 1 . 0 1 read-write RX_THRESHOLD Received FIFO Threshold 3-bits register, value from 0 ~7. If RX valid data counts large than RXTHRESHOLD, RXINT_STS will set to 1, else RXINT_STS will set to 0. 24 3 read-write TIMEOUT_EN RX Read timeout function enable 7 1 read-write 0 RX read Timeout function Disabled #0 1 RX read Timeout function Enabled #1 TXINT_EN TX Threshold Interrupt Enable 3 1 read-write 0 Tx threshold interrupt Disabled #0 1 TX threshold interrupt Enable #1 TX_CLR Transmitting FIFO Counter Clear This bit is used to clear the transmit counter in FIFO Mode. This bit can be written 1 to clear the transmitting counter and this bit will be cleared to 0 automatically after clearing transmitting counter. After the clear operation, the flag of TX_EMPTY in SPI_STATUS[2] will be set to 1 . 1 1 read-write TX_THRESHOLD Transmit FIFO Threshold 3-bit register, value from 0 ~7. If TX valid data counts small or equal than TXTHRESHOLD, TXINT_STS will set to 1, else TXINT_STS will set to 0. 28 3 read-write SPI_INTERNAL SPI_INTERNAL SPI INTERNAL Register 0x50 read-write n 0x0 0x0 SPI_RX0 SPI_RX0 SPI Receive Data FIFO Register 0 0x10 read-only n 0x0 0x0 RDATA Receive Data FIFO Register\nThe received data can be read on it. If the FIFO bit is set as 1, the user also checks the RX_EMPTY, SPI_STATUS[0], to check if there is any more received data or not. \nNote: These registers are read only. 0 32 read-only SPI_RX1 SPI_RX1 SPI Receive Data FIFO Register 1 0x14 read-write n 0x0 0x0 SPI_SSR SPI_SSR SPI Slave Select Register 0xC read-write n 0x0 0x0 AUTOSS Automatic Slave Selection (Master Only) 3 1 read-write 0 If this bit is set as 0 , slave select signals are asserted and de-asserted by setting and clearing related bits in SSR[1:0] register #0 1 If this bit is set as 1 , SPISS[1:0] signals are generated automatically. It means that device/slave select signal, which is set in SSR[1:0] register is asserted by the SPI controller when transmit/receive is started, and is de-asserted after each transaction is done #1 NOSLVSEL No Slave Selected in Slave Mode This is used to ignore the slave select signal in Slave mode. The SPI controller can work on 3 wire interface including SPICLK, SPI_MISO, and SPI_MOSI when it is set as a slave device. Note: In no slave select signal mode, the SS_LTRIG, SPI_SSR[4], shall be set as 1 . 5 1 read-write 0 The controller is 4-wire bi-direction interface #0 1 The controller is 3-wire bi-direction interface in Slave mode. When this bit is set as 1, the controller start to transmit/receive data after the GO_BUSY bit active and the serial clock input #1 SLV_ABORT Abort in Slave Mode with No Slave Selected In normal operation, there is interrupt event when the received data meet the required bits which define in TX_BIT_LEN. If the received bits are less than the requirement and there is no more serial clock input over the time period which is defined by user in slave mode with no slave select, the user can set this bit to force the current transfer done and then the user can get a transfer done interrupt event. Note: It is auto cleared to 0 by hardware when the abort event is active. 8 1 read-write SSR Slave Select Active Register (Master Only) If AUTOSS bit is cleared, writing 1 to SSR[0] bit sets the SPISS[0] line to an active state and writing 0 sets the line back to inactive state.(the same as SSR[1] for SPISS[1]) If AUTOSS bit is set, writing 1 to any bit location of this field will select appropriate SPISS[1:0] line to be automatically driven to active state for the duration of the transaction, and will be driven to inactive state for the rest of the time. (The active level of SPISS[1:0] is specified in SS_LVL). Note: 1. This interface can only drive one device/slave at a given time. Therefore, the slaves select of the selected device must be set to its active level before starting any read or write transfer. 2. SPISS[0] is also defined as device/slave select input in Slave mode. And that the slave select input must be driven by edge active trigger which level depend on the SS_LVL setting, otherwise the SPI slave core will go into dead path until the edge active triggers again or reset the SPI core by software. 0 2 read-write SSTA_INTEN Slave Start Interrupt Enable\nIt is used to enable interrupt when the transfer has started in Slave mode with no slave select. If there is no transfer done interrupt over the time period which is defined by user after the transfer start, the user can set the SLV_ABORT bit to force the transfer done. 9 1 read-write 0 Tansfer start interrupt Disabled #0 1 Transaction start interrupt Enabled. It is cleared when the current transfer done or the SLV_START_INTSTS bit cleared (write one clear) #1 SS_INT_OPT Slave Select Interrupt Option \nIt is used to enable the interrupt when the transfer has done in slave mode. 16 1 read-write 0 No any interrupt, even there is slave select inactive event #0 1 There is interrupt event when the slave select is inactive. It is used to inform the user the transaction has finished and the slave select into the inactive state #1 SS_LTRIG Slave Select Level Trigger 4 1 read-write 0 The input slave select signal is edge-trigger #0 1 The slave select signal will be level-trigger. It depends on SS_LVL to decide the signal is active low or active high #1 SS_LVL Slave Select Active Level\nIt defines the active level of device/slave select signal (SPISS[1:0]). 2 1 read-write 0 The SPI_SS slave select signal is active Low #0 1 The SPI_SS slave select signal is active High #1 SPI_STATUS SPI_STATUS SPI Status Register 0x4 -1 read-write n 0x0 0x0 INTSTS Interrupt Status Note: This bit is read only, but can be cleared by writing 1 to this bit. 7 1 read-write 0 Transfer is not finished yet #0 1 Transfer is done. The interrupt is requested when the INTEN bit is enabled #1 LTRIG_FLAG Level Trigger Accomplish Flag (INTERNAL ONLY)\nIn Slave mode, this bit indicates whether the received bit number meets the requirement or not after the current transaction done.\nNote: This bit is READ only. As the software sets the GO_BUSY bit to 1, the LTRIG_FLAG will be cleared to 0 after 4 SPI engine clock periods plus 1 system clock period. In FIFO mode, this bit is unmeaning. 4 1 read-write 0 The transferred bit length of one transaction does not meet the specified requirement #0 1 The transferred bit length meets the specified requirement which defined in TX_BIT_LEN #1 RXINT_STS RX FIFO Threshold Interrupt Status (Read Only) 8 1 read-only 0 RX valid data counts small or equal than RXTHRESHOLD #0 1 RX valid data counts bigger than RXTHRESHOLD #1 RX_EMPTY Received FIFO_EMPTY Status 0 1 read-write 0 Received data FIFO is not empty in the dual FIFO mode #0 1 Received data FIFO is empty in the dual FIFO mode #1 RX_FIFO_CNT Data counts in RX FIFO (Read Only) 16 4 read-only RX_FULL Received FIFO_FULL Status 1 1 read-write 0 Received data FIFO is not full in dual FIFO mode #0 1 Received data FIFO is full in the dual FIFO mode #1 RX_OVER_RUN RX FIFO Over Run Status\nIf SPI receives data when RX FIFO is full, this bit will set to 1, and the received data will dropped.\nNote: This bit will be cleared by writing 1 to itself. 9 1 read-write SLV_START_INTSTS Slave Start Interrupt Status\nIt is used to dedicate that the transfer has started in Slave mode with no slave select. 6 1 read-write 0 Slave started transfer no active #0 1 Transfer has started in Slave mode with no slave select. It is auto clear by transfer done or writing one clear #1 TIME_OUT_STS TIMEOUT Interrupt Flag\nNote: This bit will be cleared by writing 1 to itself. 12 1 read-write 0 There is not timeout event on the received buffer #0 1 RX fifo is not empty and there is not be read over the 64 SPI_CLK period in master mode and over the 576 ECLK period in slave mode. When the received fifo is read by user, the timeout status will be cleared automatically #1 TXINT_STS TX FIFO Threshold Interrupt Status (Read Only) 10 1 read-only 0 TX valid data counts bigger than TXTHRESHOLD #0 1 TX valid data counts small or equal than TXTHRESHOLD #1 TX_EMPTY Transmitted FIFO_EMPTY Status 2 1 read-write 0 Transmitted data FIFO is not empty in the dual FIFO mode #0 1 Transmitted data FIFO is empty in the dual FIFO mode #1 TX_FIFO_CNT Data counts in TX FIFO (Read Only) 20 4 read-only TX_FULL Transmitted FIFO_FULL Status 3 1 read-write 0 Transmitted data FIFO is not full in the dual FIFO mode #0 1 Transmitted data FIFO is full in the dual FIFO mode #1 SPI_TX0 SPI_TX0 SPI Transmit Data FIFO Register 0 0x20 write-only n 0x0 0x0 TDATA Transmit Data FIFO Register\nThe Data Transmit Registers hold the data to be transmitted in the next transfer. The number of valid bits depends on the setting of transmit bit length field of the SPI_CTL register.\nFor example, if TX_BIT_LEN is set to 0x08, the bit SPI_TX[7:0] will be transmitted in next transfer. If TX_BIT_LEN is set to 0x00, the SPI controller will perform a 32-bit transfer.\nNote: When the SPI controller is configured as a slave device and the FIFO mode is disabled, if the SPI controller attempts to transmit data to a master, the software must update the transmit data register before setting the GO_BUSY bit to 1. 0 32 write-only SPI_TX1 SPI_TX1 SPI Transmit Data FIFO Register 1 0x24 read-write n 0x0 0x0 SPI_VARCLK SPI_VARCLK SPI Variable Clock Pattern Flag Register 0x34 -1 read-write n 0x0 0x0 VARCLK Variable Clock Pattern Flag\nThe value in this field is the frequency patterns of the SPICLK. If the bit pattern of VARCLK is '0', the output frequency of SPICLK is according the value of DIVIDER1. If the bit patterns of VARCLK are '1', the output frequency of SPICLK is according the value of DIVIDER2. 0 32 read-write TMR0 TMR Register Map TMR 0x0 0x0 0x1C registers n 0x200 0x18 registers n GPA_SHADOW GPA_SHADOW GPIO Port A Pin Value Shadow Register 0x200 read-only n 0x0 0x0 PIN0 GPIO Port [A/B/C/D/E/F] Pin Values\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin.\nThese registers are shadow registers of GPIOx_PIN register.\nNote: For GPF_SHADOW, bits [15:9] are reserved. 0 1 read-only PIN1 GPIO Port [A/B/C/D/E/F] Pin Values\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin.\nThese registers are shadow registers of GPIOx_PIN register.\nNote: For GPF_SHADOW, bits [15:9] are reserved. 1 1 read-only PIN10 GPIO Port [A/B/C/D/E/F] Pin Values\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin.\nThese registers are shadow registers of GPIOx_PIN register.\nNote: For GPF_SHADOW, bits [15:9] are reserved. 10 1 read-only PIN11 GPIO Port [A/B/C/D/E/F] Pin Values\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin.\nThese registers are shadow registers of GPIOx_PIN register.\nNote: For GPF_SHADOW, bits [15:9] are reserved. 11 1 read-only PIN12 GPIO Port [A/B/C/D/E/F] Pin Values\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin.\nThese registers are shadow registers of GPIOx_PIN register.\nNote: For GPF_SHADOW, bits [15:9] are reserved. 12 1 read-only PIN13 GPIO Port [A/B/C/D/E/F] Pin Values\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin.\nThese registers are shadow registers of GPIOx_PIN register.\nNote: For GPF_SHADOW, bits [15:9] are reserved. 13 1 read-only PIN14 GPIO Port [A/B/C/D/E/F] Pin Values\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin.\nThese registers are shadow registers of GPIOx_PIN register.\nNote: For GPF_SHADOW, bits [15:9] are reserved. 14 1 read-only PIN15 GPIO Port [A/B/C/D/E/F] Pin Values\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin.\nThese registers are shadow registers of GPIOx_PIN register.\nNote: For GPF_SHADOW, bits [15:9] are reserved. 15 1 read-only PIN2 GPIO Port [A/B/C/D/E/F] Pin Values\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin.\nThese registers are shadow registers of GPIOx_PIN register.\nNote: For GPF_SHADOW, bits [15:9] are reserved. 2 1 read-only PIN3 GPIO Port [A/B/C/D/E/F] Pin Values\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin.\nThese registers are shadow registers of GPIOx_PIN register.\nNote: For GPF_SHADOW, bits [15:9] are reserved. 3 1 read-only PIN4 GPIO Port [A/B/C/D/E/F] Pin Values\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin.\nThese registers are shadow registers of GPIOx_PIN register.\nNote: For GPF_SHADOW, bits [15:9] are reserved. 4 1 read-only PIN5 GPIO Port [A/B/C/D/E/F] Pin Values\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin.\nThese registers are shadow registers of GPIOx_PIN register.\nNote: For GPF_SHADOW, bits [15:9] are reserved. 5 1 read-only PIN6 GPIO Port [A/B/C/D/E/F] Pin Values\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin.\nThese registers are shadow registers of GPIOx_PIN register.\nNote: For GPF_SHADOW, bits [15:9] are reserved. 6 1 read-only PIN7 GPIO Port [A/B/C/D/E/F] Pin Values\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin.\nThese registers are shadow registers of GPIOx_PIN register.\nNote: For GPF_SHADOW, bits [15:9] are reserved. 7 1 read-only PIN8 GPIO Port [A/B/C/D/E/F] Pin Values\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin.\nThese registers are shadow registers of GPIOx_PIN register.\nNote: For GPF_SHADOW, bits [15:9] are reserved. 8 1 read-only PIN9 GPIO Port [A/B/C/D/E/F] Pin Values\nThe value read from each of these bit reflects the actual status of the respective GPI/O pin.\nThese registers are shadow registers of GPIOx_PIN register.\nNote: For GPF_SHADOW, bits [15:9] are reserved. 9 1 read-only GPB_SHADOW GPB_SHADOW GPIO Port B Pin Value Shadow Register 0x204 read-write n 0x0 0x0 GPC_SHADOW GPC_SHADOW GPIO Port C Pin Value Shadow Register 0x208 read-write n 0x0 0x0 GPD_SHADOW GPD_SHADOW GPIO Port D Pin Value Shadow Register 0x20C read-write n 0x0 0x0 GPE_SHADOW GPE_SHADOW GPIO Port E Pin Value Shadow Register 0x210 read-write n 0x0 0x0 GPF_SHADOW GPF_SHADOW GPIO Port F Pin Value Shadow Register 0x214 read-write n 0x0 0x0 TMR_CMPR TMR_CMPR Timer x Compare Register 0x8 read-write n 0x0 0x0 TMR_CMP Timer Compared Value\nTMR_CMP is a 24-bit compared register. When the internal 24-bit up-counter counts and its value is equal to TMR_CMP value, a Timer Interrupt is requested if the timer interrupt is enabled with TMR_IER [TMR_IE] is enabled. The TMR_CMP value defines the timer counting cycle time.\nNote1: Never write 0x0 or 0x1 in TMR_CMP, or the core will run into unknown state.\nNote2: No matter TMR_CTL [TMR_EN] is 0 or 1, whenever software write a new value into this register, TIMER will restart counting using this new value and abort previous count. 0 24 read-write TMR_CTL TMR_CTL Timer x Control Register 0x0 read-write n 0x0 0x0 ADC_TEEN TMR_IS or TCAP_IS Trigger ADC Enable\nThis bit controls if TMR_IS or TCAP_IS could trigger ADC.\nWhen ADC_TEEN is set, TMR_IS is set and the CAP_TRG_EN is low, the timer controller will generate an internal trigger event to ADC controller.\nWhen ADC_TEEN is set, TCAP_IS is set and the CAP_TRG_EN is high, the timer controller will generate an internal trigger event to ADC controller. 8 1 read-write 0 TMR_IS or TCAP_IS trigger ADC Disabled #0 1 TMR_IS or TCAP_IS trigger ADC Enabled #1 CAP_CNT_MOD Timer Capture Counting Mode Selection\nThis bit indicates the behavior of 24-bit up-counting timer while TCAP_EN is set to high.\nIf this bit is 0, the free-counting mode, the behavior of 24-bit up-counting timer is defined by MODE_SEL field. When TCAP_EN is set, TCAP_MODE is 0, and the transition of Tcapture pin matches the TCAP_EDGE setting, the value of 24-bit up-counting timer will be saved into register TMRx_TCAPn.\nIf this bit is 1, Trigger-counting mode, 24-bit up-counting timer will be not counting and keep its value at zero. When TCAP_EN is set, TCAP_MODE is 0, and once the transition of external pin matches the 1st transition of TCAP_EDGE setting, the 24-bit up-counting timer will start counting. And then if the transition of external pin matches the 2nd transition of TCAP_EDGE setting, the 24-bit up-counting timer will stop counting. And its value will be saved into register TMRx_TCAPn.\nNote: For TMRx+1_CTL, if INTR_TRG_EN is set, the CAP_CNT_MOD will be forced to high, the capture with Trigger-counting Timer mode. 20 1 read-write 0 Capture with free-counting timer mode #0 1 Capture with trigger-counting timer mode #1 CAP_DEB_EN Tcapture Pin De-bounce Enable\nWhen CAP_DEB_EN is set, the Tcapture pin de-bounce circuit will be enabled to eliminate the bouncing of the signal.\nIn de-bounce circuit the Tcapture pin signal will be sampled 4 times by TMRx_CLK.\nNote: When TCAP_EN is enabled, enable this bit is recommended. And, while TCAP_EN is disabled, disable this bit is recommended to save power consumption. 22 1 read-write 0 De-bounce circuit Disabled #0 1 De-bounce circuit Enabled #1 CAP_TRG_EN TCAP_IS Trigger Mode Enable\nThis bit controls if the TMR_IS or TCAP_IS is used to trigger PDMA, DAC and ADC while TMR_IS or TCAP_IS is set.\nIf this bit is low and TMR_IS is set, timer will generate an internal trigger event to PDMA, DAC or ADC while related trigger enable bit (PDMA_TEEN, DAC_TEEN or ADC_TEEN) is also set.\nIf this bit is set high and TCAP_IS is set, timer will generate an internal trigger event to PDMA, DAC or ADC while related trigger enable bit (PDMA_TEEN, DAC_TEEN or ADC_TEEN) is also set. 11 1 read-write 0 TMR_IS is used to trigger PDMA, DAC and ADC #0 1 TCAP_IS is used to trigger PDMA, DAC and ADC #1 DAC_TEEN TMR_IS or TCAP_IS Trigger DAC Enable\nThis bit controls if TMR_IS or TCAP_IS could trigger DAC.\nWhen DAC_TEEN is set, TMR_IS is set and the CAP_TRG_EN is low, the timer controller will generate an internal trigger event to DAC controller.\nWhen DAC_TEEN is set, TCAP_IS is set and the CAP_TRG_EN is high, the timer controller will generate an internal trigger event to DAC controller. 9 1 read-write 0 TMR_IS or TCAP_IS trigger DAC Disabled #0 1 TMR_IS or TCAP_IS trigger DAC Enabled #1 DBGACK_EN ICE Debug Mode Acknowledge Ineffective Enable 3 1 read-write 0 ICE debug mode acknowledgement effects TIMER counting and TIMER counter will be held while ICE debug mode acknowledged #0 1 ICE debug mode acknowledgement is ineffective and TIMER counter will keep going no matter ICE debug mode acknowledged or not #1 EVENT_EDGE Event Counting Mode Edge Selection\nThis bit indicates which edge of external event pin enabling the timer to increase 1. 13 1 read-write 0 A falling edge of external event enabling the timer to increase 1 #0 1 A rising edge of external event enabling the timer to increase 1 #1 EVENT_EN Event Counting Mode Enable\nWhen EVENT_EN is set, the increase of 24-bit up-counting timer is controlled by external event pin.\nWhile the transition of external event pin matches the definition of EVENT_EDGE, the 24-bit up-counting timer increases by 1. Or, the 24-bit up-counting timer will keep its value unchanged. 12 1 read-write 0 Timer counting is not controlled by external event pin #0 1 Timer counting is controlled by external event pin #1 EVNT_DEB_EN External Event De-bounce Enable\nWhen EVNT_DEB_EN is set, the external event pin de-bounce circuit will be enabled to eliminate the bouncing of the signal.\nIn de-bounce circuit the external event pin will be sampled 4 times by TMRx_CLK.\nNote: When EVENT_EN is enabled, enable this bit is recommended. And, while EVENT_EN is disabled, disable this bit is recommended to save power consumption. 14 1 read-write 0 De-bounce circuit Disabled #0 1 De-bounce circuit Enabled #1 INTR_TRG_EN Inter-Timer Trigger Mode Enable\nThis bit controls if Inter-timer Trigger mode is enabled.\nIf Inter-timer Trigger mode is enabled, the TMRx will be in counter mode and counting with external Clock Source or event. And, TMRx+1 will be in trigger-counting mode of capture function.\nNote: For TMRx+1_CTL, this bit is ignored and the read back value is always 1'b0. 24 1 read-write 0 Inter-timer trigger mode Disabled #0 1 Inter-timer trigger mode Enabled #1 MODE_SEL Timer Operating Mode Select 4 2 read-write PDMA_TEEN TMR_IS or TCAP_IS Trigger PDMA Enable\nThis bit controls if TMR_IS or TCAP_IS could trigger PDMA.\nWhen PDMA_TEEN is set, TMR_IS is set and the CAP_TRG_EN is low, the timer controller will generate an internal trigger event to PDMA controller.\nWhen PDMA_TEEN is set, TCAP_IS is set and the CAP_TRG_EN is high, the timer controller will generate an internal trigger event to PDMA controller. 10 1 read-write 0 TMR_IS or TCAP_IS trigger PDMA Disabled #0 1 TMR_IS or TCAP_IS trigger PDMA Enabled #1 SW_RST Software Reset\nSet this bit will reset the timer counter, pre-scale counter and also force TMR_CTL [TMR_EN] to 0.\nNote: This bit will be auto cleared and takes at least 3 TMRx_CLK clock cycles. 1 1 read-write 0 No effect #0 1 Reset Timer's pre-scale counter, internal 24-bit up-counter and TMR_CTL [TMR_EN] bit #1 TCAP_EDGE Tcapture Pin Edge Detect Selection 18 2 read-write TCAP_EN Tcapture Pin Functional Enable\nThis bit controls if the transition on Tcapture pin could be used as timer counter reset function or timer capture function.\nNote: For TMRx_CTL, if INTR_TRG_EN is set, the TCAP_EN will be forced to low and the Tcapture pin transition is ignored.\nNote: For TMRx+1_CTL, if INTR_TRG_EN is set, the TCAP_EN will be forced to high. 16 1 read-write 0 The transition on Tcapture pin is ignored #0 1 The transition on Tcapture pin will result in the capture or reset of 24-bit timer counter #1 TCAP_MODE Tcapture Pin Function Mode Selection\nThis bit indicates if the transition on Tcapture pin is used as timer counter reset function or timer capture function.\nNote: For TMRx+1_CTL, if INTR_TRG_EN is set, the TCAP_MODE will be forced to low. 17 1 read-write 0 The transition on Tcapture pin is used as timer capture function #0 1 The transition on Tcapture pin is used as timer counter reset function #1 TMR_ACT Timer Active Status Bit (Read Only)\nThis bit indicates the timer counter status of timer. 7 1 read-only 0 Timer is not active #0 1 Timer is in active #1 TMR_EN Timer Counter Enable Bit 0 1 read-write 0 Stops/Suspends counting #0 1 Starts counting #1 WAKE_EN Wake-up Enable\nWhen WAKE_EN is set and the TMR_IS or TCAP_IS is set, the timer controller will generate a wake-up trigger event to CPU. 2 1 read-write 0 Wake-up trigger event Disabled #0 1 Wake-up trigger event Enabled #1 TMR_DR TMR_DR Timer x Data Register 0x14 read-only n 0x0 0x0 TDR Timer Data Register\nUser can read this register for internal 24-bit timer up-counter value. 0 24 read-only TMR_IER TMR_IER Timer x Interrupt Enable Register 0xC read-write n 0x0 0x0 TCAP_IE Timer Capture Function Interrupt Enable\nNote: If timer external pin function interrupt is enabled, the timer asserts its interrupt signal when the TCAP_EN is set and the transition of external pin matches the TCAP_EDGE setting 1 1 read-write 0 Timer External Pin Function Interrupt Disabled #0 1 Timer External Pin Function Interrupt Enabled #1 TMR_IE Timer Interrupt Enable\nNote: If timer interrupt is enabled, the timer asserts its interrupt signal when the associated counter is equal to TMR_CMPR. 0 1 read-write 0 Timer Interrupt Disabled #0 1 Timer Interrupt Enabled #1 TMR_ISR TMR_ISR Timer x Interrupt Status Register 0x10 read-write n 0x0 0x0 NCAP_DET_STS New Capture Detected Status\nThis status is to indicate there is a new incoming capture event detected before CPU clearing the TCAP_IS status.\nIf the above condition occurred, the Timer will keep register TMRx_CAP unchanged and drop the new capture value.\nThis bit is also cleared to 0 while TCAP_IS is cleared. 5 1 read-write 0 New incoming capture event didn't detect before CPU clearing TCAP_IS status #0 1 New incoming capture event detected before CPU clearing TCAP_IS status #1 TCAP_IS Timer Capture Function Interrupt Status\nThis bit indicates the external pin function interrupt status of Timer.\nThis bit is set by hardware when TCAP_EN is set high, and the transition of external pin matches the TCAP_EDGE setting. Write 1 to clear this bit to zero.\nIf this bit is active and TCAP_IE is enabled, Timer will trigger an interrupt to CPU. 1 1 read-write TMR_IS Timer Interrupt Status\nThis bit indicates the interrupt status of Timer.\nThis bit is set by hardware when the up counting value of internal 24-bit counter matches the timer compared value (TMR_CMPR). Write 1 to clear this bit to 0.\nIf this bit is active and TMR_IE is enabled, Timer will trigger an interrupt to CPU. 0 1 read-write TMR_Wake_STS Timer Wake-up Status\nIf timer causes CPU wakes up from power-down mode, this bit will be set to high. It must be cleared by software with a write 1 to this bit. 4 1 read-write 0 Timer does not cause system wake-up #0 1 Wakes system up from power-down mode by Timer timeout #1 TMR_PRECNT TMR_PRECNT Timer x Pre-Scale Counter Register 0x4 read-write n 0x0 0x0 PRESCALE_CNT Pre-scale Counter 0 8 read-write TMR_TCAP TMR_TCAP Timer x Capture Data Register 0x18 read-only n 0x0 0x0 CAP Timer Capture Data Register\nWhen TCAP_EN is set, TCAP_MODE is 0, and the transition of external pin matches the TCAP_EDGE setting, the value of 24-bit up-counting timer will be saved into register TMRx_TCAP. User can read this register for the counter value. 0 24 read-only TMR1 TMR Register Map TMR 0x0 0x0 0x1C registers n TMR_CMPR TMR_CMPR Timer x Compare Register 0x8 read-write n 0x0 0x0 TMR_CMP Timer Compared Value\nTMR_CMP is a 24-bit compared register. When the internal 24-bit up-counter counts and its value is equal to TMR_CMP value, a Timer Interrupt is requested if the timer interrupt is enabled with TMR_IER [TMR_IE] is enabled. The TMR_CMP value defines the timer counting cycle time.\nNote1: Never write 0x0 or 0x1 in TMR_CMP, or the core will run into unknown state.\nNote2: No matter TMR_CTL [TMR_EN] is 0 or 1, whenever software write a new value into this register, TIMER will restart counting using this new value and abort previous count. 0 24 read-write TMR_CTL TMR_CTL Timer x Control Register 0x0 read-write n 0x0 0x0 ADC_TEEN TMR_IS or TCAP_IS Trigger ADC Enable\nThis bit controls if TMR_IS or TCAP_IS could trigger ADC.\nWhen ADC_TEEN is set, TMR_IS is set and the CAP_TRG_EN is low, the timer controller will generate an internal trigger event to ADC controller.\nWhen ADC_TEEN is set, TCAP_IS is set and the CAP_TRG_EN is high, the timer controller will generate an internal trigger event to ADC controller. 8 1 read-write 0 TMR_IS or TCAP_IS trigger ADC Disabled #0 1 TMR_IS or TCAP_IS trigger ADC Enabled #1 CAP_CNT_MOD Timer Capture Counting Mode Selection\nThis bit indicates the behavior of 24-bit up-counting timer while TCAP_EN is set to high.\nIf this bit is 0, the free-counting mode, the behavior of 24-bit up-counting timer is defined by MODE_SEL field. When TCAP_EN is set, TCAP_MODE is 0, and the transition of Tcapture pin matches the TCAP_EDGE setting, the value of 24-bit up-counting timer will be saved into register TMRx_TCAPn.\nIf this bit is 1, Trigger-counting mode, 24-bit up-counting timer will be not counting and keep its value at zero. When TCAP_EN is set, TCAP_MODE is 0, and once the transition of external pin matches the 1st transition of TCAP_EDGE setting, the 24-bit up-counting timer will start counting. And then if the transition of external pin matches the 2nd transition of TCAP_EDGE setting, the 24-bit up-counting timer will stop counting. And its value will be saved into register TMRx_TCAPn.\nNote: For TMRx+1_CTL, if INTR_TRG_EN is set, the CAP_CNT_MOD will be forced to high, the capture with Trigger-counting Timer mode. 20 1 read-write 0 Capture with free-counting timer mode #0 1 Capture with trigger-counting timer mode #1 CAP_DEB_EN Tcapture Pin De-bounce Enable\nWhen CAP_DEB_EN is set, the Tcapture pin de-bounce circuit will be enabled to eliminate the bouncing of the signal.\nIn de-bounce circuit the Tcapture pin signal will be sampled 4 times by TMRx_CLK.\nNote: When TCAP_EN is enabled, enable this bit is recommended. And, while TCAP_EN is disabled, disable this bit is recommended to save power consumption. 22 1 read-write 0 De-bounce circuit Disabled #0 1 De-bounce circuit Enabled #1 CAP_TRG_EN TCAP_IS Trigger Mode Enable\nThis bit controls if the TMR_IS or TCAP_IS is used to trigger PDMA, DAC and ADC while TMR_IS or TCAP_IS is set.\nIf this bit is low and TMR_IS is set, timer will generate an internal trigger event to PDMA, DAC or ADC while related trigger enable bit (PDMA_TEEN, DAC_TEEN or ADC_TEEN) is also set.\nIf this bit is set high and TCAP_IS is set, timer will generate an internal trigger event to PDMA, DAC or ADC while related trigger enable bit (PDMA_TEEN, DAC_TEEN or ADC_TEEN) is also set. 11 1 read-write 0 TMR_IS is used to trigger PDMA, DAC and ADC #0 1 TCAP_IS is used to trigger PDMA, DAC and ADC #1 DAC_TEEN TMR_IS or TCAP_IS Trigger DAC Enable\nThis bit controls if TMR_IS or TCAP_IS could trigger DAC.\nWhen DAC_TEEN is set, TMR_IS is set and the CAP_TRG_EN is low, the timer controller will generate an internal trigger event to DAC controller.\nWhen DAC_TEEN is set, TCAP_IS is set and the CAP_TRG_EN is high, the timer controller will generate an internal trigger event to DAC controller. 9 1 read-write 0 TMR_IS or TCAP_IS trigger DAC Disabled #0 1 TMR_IS or TCAP_IS trigger DAC Enabled #1 DBGACK_EN ICE Debug Mode Acknowledge Ineffective Enable 3 1 read-write 0 ICE debug mode acknowledgement effects TIMER counting and TIMER counter will be held while ICE debug mode acknowledged #0 1 ICE debug mode acknowledgement is ineffective and TIMER counter will keep going no matter ICE debug mode acknowledged or not #1 EVENT_EDGE Event Counting Mode Edge Selection\nThis bit indicates which edge of external event pin enabling the timer to increase 1. 13 1 read-write 0 A falling edge of external event enabling the timer to increase 1 #0 1 A rising edge of external event enabling the timer to increase 1 #1 EVENT_EN Event Counting Mode Enable\nWhen EVENT_EN is set, the increase of 24-bit up-counting timer is controlled by external event pin.\nWhile the transition of external event pin matches the definition of EVENT_EDGE, the 24-bit up-counting timer increases by 1. Or, the 24-bit up-counting timer will keep its value unchanged. 12 1 read-write 0 Timer counting is not controlled by external event pin #0 1 Timer counting is controlled by external event pin #1 EVNT_DEB_EN External Event De-bounce Enable\nWhen EVNT_DEB_EN is set, the external event pin de-bounce circuit will be enabled to eliminate the bouncing of the signal.\nIn de-bounce circuit the external event pin will be sampled 4 times by TMRx_CLK.\nNote: When EVENT_EN is enabled, enable this bit is recommended. And, while EVENT_EN is disabled, disable this bit is recommended to save power consumption. 14 1 read-write 0 De-bounce circuit Disabled #0 1 De-bounce circuit Enabled #1 INTR_TRG_EN Inter-Timer Trigger Mode Enable\nThis bit controls if Inter-timer Trigger mode is enabled.\nIf Inter-timer Trigger mode is enabled, the TMRx will be in counter mode and counting with external Clock Source or event. And, TMRx+1 will be in trigger-counting mode of capture function.\nNote: For TMRx+1_CTL, this bit is ignored and the read back value is always 1'b0. 24 1 read-write 0 Inter-timer trigger mode Disabled #0 1 Inter-timer trigger mode Enabled #1 MODE_SEL Timer Operating Mode Select 4 2 read-write PDMA_TEEN TMR_IS or TCAP_IS Trigger PDMA Enable\nThis bit controls if TMR_IS or TCAP_IS could trigger PDMA.\nWhen PDMA_TEEN is set, TMR_IS is set and the CAP_TRG_EN is low, the timer controller will generate an internal trigger event to PDMA controller.\nWhen PDMA_TEEN is set, TCAP_IS is set and the CAP_TRG_EN is high, the timer controller will generate an internal trigger event to PDMA controller. 10 1 read-write 0 TMR_IS or TCAP_IS trigger PDMA Disabled #0 1 TMR_IS or TCAP_IS trigger PDMA Enabled #1 SW_RST Software Reset\nSet this bit will reset the timer counter, pre-scale counter and also force TMR_CTL [TMR_EN] to 0.\nNote: This bit will be auto cleared and takes at least 3 TMRx_CLK clock cycles. 1 1 read-write 0 No effect #0 1 Reset Timer's pre-scale counter, internal 24-bit up-counter and TMR_CTL [TMR_EN] bit #1 TCAP_EDGE Tcapture Pin Edge Detect Selection 18 2 read-write TCAP_EN Tcapture Pin Functional Enable\nThis bit controls if the transition on Tcapture pin could be used as timer counter reset function or timer capture function.\nNote: For TMRx_CTL, if INTR_TRG_EN is set, the TCAP_EN will be forced to low and the Tcapture pin transition is ignored.\nNote: For TMRx+1_CTL, if INTR_TRG_EN is set, the TCAP_EN will be forced to high. 16 1 read-write 0 The transition on Tcapture pin is ignored #0 1 The transition on Tcapture pin will result in the capture or reset of 24-bit timer counter #1 TCAP_MODE Tcapture Pin Function Mode Selection\nThis bit indicates if the transition on Tcapture pin is used as timer counter reset function or timer capture function.\nNote: For TMRx+1_CTL, if INTR_TRG_EN is set, the TCAP_MODE will be forced to low. 17 1 read-write 0 The transition on Tcapture pin is used as timer capture function #0 1 The transition on Tcapture pin is used as timer counter reset function #1 TMR_ACT Timer Active Status Bit (Read Only)\nThis bit indicates the timer counter status of timer. 7 1 read-only 0 Timer is not active #0 1 Timer is in active #1 TMR_EN Timer Counter Enable Bit 0 1 read-write 0 Stops/Suspends counting #0 1 Starts counting #1 WAKE_EN Wake-up Enable\nWhen WAKE_EN is set and the TMR_IS or TCAP_IS is set, the timer controller will generate a wake-up trigger event to CPU. 2 1 read-write 0 Wake-up trigger event Disabled #0 1 Wake-up trigger event Enabled #1 TMR_DR TMR_DR Timer x Data Register 0x14 read-only n 0x0 0x0 TDR Timer Data Register\nUser can read this register for internal 24-bit timer up-counter value. 0 24 read-only TMR_IER TMR_IER Timer x Interrupt Enable Register 0xC read-write n 0x0 0x0 TCAP_IE Timer Capture Function Interrupt Enable\nNote: If timer external pin function interrupt is enabled, the timer asserts its interrupt signal when the TCAP_EN is set and the transition of external pin matches the TCAP_EDGE setting 1 1 read-write 0 Timer External Pin Function Interrupt Disabled #0 1 Timer External Pin Function Interrupt Enabled #1 TMR_IE Timer Interrupt Enable\nNote: If timer interrupt is enabled, the timer asserts its interrupt signal when the associated counter is equal to TMR_CMPR. 0 1 read-write 0 Timer Interrupt Disabled #0 1 Timer Interrupt Enabled #1 TMR_ISR TMR_ISR Timer x Interrupt Status Register 0x10 read-write n 0x0 0x0 NCAP_DET_STS New Capture Detected Status\nThis status is to indicate there is a new incoming capture event detected before CPU clearing the TCAP_IS status.\nIf the above condition occurred, the Timer will keep register TMRx_CAP unchanged and drop the new capture value.\nThis bit is also cleared to 0 while TCAP_IS is cleared. 5 1 read-write 0 New incoming capture event didn't detect before CPU clearing TCAP_IS status #0 1 New incoming capture event detected before CPU clearing TCAP_IS status #1 TCAP_IS Timer Capture Function Interrupt Status\nThis bit indicates the external pin function interrupt status of Timer.\nThis bit is set by hardware when TCAP_EN is set high, and the transition of external pin matches the TCAP_EDGE setting. Write 1 to clear this bit to zero.\nIf this bit is active and TCAP_IE is enabled, Timer will trigger an interrupt to CPU. 1 1 read-write TMR_IS Timer Interrupt Status\nThis bit indicates the interrupt status of Timer.\nThis bit is set by hardware when the up counting value of internal 24-bit counter matches the timer compared value (TMR_CMPR). Write 1 to clear this bit to 0.\nIf this bit is active and TMR_IE is enabled, Timer will trigger an interrupt to CPU. 0 1 read-write TMR_Wake_STS Timer Wake-up Status\nIf timer causes CPU wakes up from power-down mode, this bit will be set to high. It must be cleared by software with a write 1 to this bit. 4 1 read-write 0 Timer does not cause system wake-up #0 1 Wakes system up from power-down mode by Timer timeout #1 TMR_PRECNT TMR_PRECNT Timer x Pre-Scale Counter Register 0x4 read-write n 0x0 0x0 PRESCALE_CNT Pre-scale Counter 0 8 read-write TMR_TCAP TMR_TCAP Timer x Capture Data Register 0x18 read-only n 0x0 0x0 CAP Timer Capture Data Register\nWhen TCAP_EN is set, TCAP_MODE is 0, and the transition of external pin matches the TCAP_EDGE setting, the value of 24-bit up-counting timer will be saved into register TMRx_TCAP. User can read this register for the counter value. 0 24 read-only TMR2 TMR Register Map TMR 0x0 0x0 0x1C registers n TMR_CMPR TMR_CMPR Timer x Compare Register 0x8 read-write n 0x0 0x0 TMR_CMP Timer Compared Value\nTMR_CMP is a 24-bit compared register. When the internal 24-bit up-counter counts and its value is equal to TMR_CMP value, a Timer Interrupt is requested if the timer interrupt is enabled with TMR_IER [TMR_IE] is enabled. The TMR_CMP value defines the timer counting cycle time.\nNote1: Never write 0x0 or 0x1 in TMR_CMP, or the core will run into unknown state.\nNote2: No matter TMR_CTL [TMR_EN] is 0 or 1, whenever software write a new value into this register, TIMER will restart counting using this new value and abort previous count. 0 24 read-write TMR_CTL TMR_CTL Timer x Control Register 0x0 read-write n 0x0 0x0 ADC_TEEN TMR_IS or TCAP_IS Trigger ADC Enable\nThis bit controls if TMR_IS or TCAP_IS could trigger ADC.\nWhen ADC_TEEN is set, TMR_IS is set and the CAP_TRG_EN is low, the timer controller will generate an internal trigger event to ADC controller.\nWhen ADC_TEEN is set, TCAP_IS is set and the CAP_TRG_EN is high, the timer controller will generate an internal trigger event to ADC controller. 8 1 read-write 0 TMR_IS or TCAP_IS trigger ADC Disabled #0 1 TMR_IS or TCAP_IS trigger ADC Enabled #1 CAP_CNT_MOD Timer Capture Counting Mode Selection\nThis bit indicates the behavior of 24-bit up-counting timer while TCAP_EN is set to high.\nIf this bit is 0, the free-counting mode, the behavior of 24-bit up-counting timer is defined by MODE_SEL field. When TCAP_EN is set, TCAP_MODE is 0, and the transition of Tcapture pin matches the TCAP_EDGE setting, the value of 24-bit up-counting timer will be saved into register TMRx_TCAPn.\nIf this bit is 1, Trigger-counting mode, 24-bit up-counting timer will be not counting and keep its value at zero. When TCAP_EN is set, TCAP_MODE is 0, and once the transition of external pin matches the 1st transition of TCAP_EDGE setting, the 24-bit up-counting timer will start counting. And then if the transition of external pin matches the 2nd transition of TCAP_EDGE setting, the 24-bit up-counting timer will stop counting. And its value will be saved into register TMRx_TCAPn.\nNote: For TMRx+1_CTL, if INTR_TRG_EN is set, the CAP_CNT_MOD will be forced to high, the capture with Trigger-counting Timer mode. 20 1 read-write 0 Capture with free-counting timer mode #0 1 Capture with trigger-counting timer mode #1 CAP_DEB_EN Tcapture Pin De-bounce Enable\nWhen CAP_DEB_EN is set, the Tcapture pin de-bounce circuit will be enabled to eliminate the bouncing of the signal.\nIn de-bounce circuit the Tcapture pin signal will be sampled 4 times by TMRx_CLK.\nNote: When TCAP_EN is enabled, enable this bit is recommended. And, while TCAP_EN is disabled, disable this bit is recommended to save power consumption. 22 1 read-write 0 De-bounce circuit Disabled #0 1 De-bounce circuit Enabled #1 CAP_TRG_EN TCAP_IS Trigger Mode Enable\nThis bit controls if the TMR_IS or TCAP_IS is used to trigger PDMA, DAC and ADC while TMR_IS or TCAP_IS is set.\nIf this bit is low and TMR_IS is set, timer will generate an internal trigger event to PDMA, DAC or ADC while related trigger enable bit (PDMA_TEEN, DAC_TEEN or ADC_TEEN) is also set.\nIf this bit is set high and TCAP_IS is set, timer will generate an internal trigger event to PDMA, DAC or ADC while related trigger enable bit (PDMA_TEEN, DAC_TEEN or ADC_TEEN) is also set. 11 1 read-write 0 TMR_IS is used to trigger PDMA, DAC and ADC #0 1 TCAP_IS is used to trigger PDMA, DAC and ADC #1 DAC_TEEN TMR_IS or TCAP_IS Trigger DAC Enable\nThis bit controls if TMR_IS or TCAP_IS could trigger DAC.\nWhen DAC_TEEN is set, TMR_IS is set and the CAP_TRG_EN is low, the timer controller will generate an internal trigger event to DAC controller.\nWhen DAC_TEEN is set, TCAP_IS is set and the CAP_TRG_EN is high, the timer controller will generate an internal trigger event to DAC controller. 9 1 read-write 0 TMR_IS or TCAP_IS trigger DAC Disabled #0 1 TMR_IS or TCAP_IS trigger DAC Enabled #1 DBGACK_EN ICE Debug Mode Acknowledge Ineffective Enable 3 1 read-write 0 ICE debug mode acknowledgement effects TIMER counting and TIMER counter will be held while ICE debug mode acknowledged #0 1 ICE debug mode acknowledgement is ineffective and TIMER counter will keep going no matter ICE debug mode acknowledged or not #1 EVENT_EDGE Event Counting Mode Edge Selection\nThis bit indicates which edge of external event pin enabling the timer to increase 1. 13 1 read-write 0 A falling edge of external event enabling the timer to increase 1 #0 1 A rising edge of external event enabling the timer to increase 1 #1 EVENT_EN Event Counting Mode Enable\nWhen EVENT_EN is set, the increase of 24-bit up-counting timer is controlled by external event pin.\nWhile the transition of external event pin matches the definition of EVENT_EDGE, the 24-bit up-counting timer increases by 1. Or, the 24-bit up-counting timer will keep its value unchanged. 12 1 read-write 0 Timer counting is not controlled by external event pin #0 1 Timer counting is controlled by external event pin #1 EVNT_DEB_EN External Event De-bounce Enable\nWhen EVNT_DEB_EN is set, the external event pin de-bounce circuit will be enabled to eliminate the bouncing of the signal.\nIn de-bounce circuit the external event pin will be sampled 4 times by TMRx_CLK.\nNote: When EVENT_EN is enabled, enable this bit is recommended. And, while EVENT_EN is disabled, disable this bit is recommended to save power consumption. 14 1 read-write 0 De-bounce circuit Disabled #0 1 De-bounce circuit Enabled #1 INTR_TRG_EN Inter-Timer Trigger Mode Enable\nThis bit controls if Inter-timer Trigger mode is enabled.\nIf Inter-timer Trigger mode is enabled, the TMRx will be in counter mode and counting with external Clock Source or event. And, TMRx+1 will be in trigger-counting mode of capture function.\nNote: For TMRx+1_CTL, this bit is ignored and the read back value is always 1'b0. 24 1 read-write 0 Inter-timer trigger mode Disabled #0 1 Inter-timer trigger mode Enabled #1 MODE_SEL Timer Operating Mode Select 4 2 read-write PDMA_TEEN TMR_IS or TCAP_IS Trigger PDMA Enable\nThis bit controls if TMR_IS or TCAP_IS could trigger PDMA.\nWhen PDMA_TEEN is set, TMR_IS is set and the CAP_TRG_EN is low, the timer controller will generate an internal trigger event to PDMA controller.\nWhen PDMA_TEEN is set, TCAP_IS is set and the CAP_TRG_EN is high, the timer controller will generate an internal trigger event to PDMA controller. 10 1 read-write 0 TMR_IS or TCAP_IS trigger PDMA Disabled #0 1 TMR_IS or TCAP_IS trigger PDMA Enabled #1 SW_RST Software Reset\nSet this bit will reset the timer counter, pre-scale counter and also force TMR_CTL [TMR_EN] to 0.\nNote: This bit will be auto cleared and takes at least 3 TMRx_CLK clock cycles. 1 1 read-write 0 No effect #0 1 Reset Timer's pre-scale counter, internal 24-bit up-counter and TMR_CTL [TMR_EN] bit #1 TCAP_EDGE Tcapture Pin Edge Detect Selection 18 2 read-write TCAP_EN Tcapture Pin Functional Enable\nThis bit controls if the transition on Tcapture pin could be used as timer counter reset function or timer capture function.\nNote: For TMRx_CTL, if INTR_TRG_EN is set, the TCAP_EN will be forced to low and the Tcapture pin transition is ignored.\nNote: For TMRx+1_CTL, if INTR_TRG_EN is set, the TCAP_EN will be forced to high. 16 1 read-write 0 The transition on Tcapture pin is ignored #0 1 The transition on Tcapture pin will result in the capture or reset of 24-bit timer counter #1 TCAP_MODE Tcapture Pin Function Mode Selection\nThis bit indicates if the transition on Tcapture pin is used as timer counter reset function or timer capture function.\nNote: For TMRx+1_CTL, if INTR_TRG_EN is set, the TCAP_MODE will be forced to low. 17 1 read-write 0 The transition on Tcapture pin is used as timer capture function #0 1 The transition on Tcapture pin is used as timer counter reset function #1 TMR_ACT Timer Active Status Bit (Read Only)\nThis bit indicates the timer counter status of timer. 7 1 read-only 0 Timer is not active #0 1 Timer is in active #1 TMR_EN Timer Counter Enable Bit 0 1 read-write 0 Stops/Suspends counting #0 1 Starts counting #1 WAKE_EN Wake-up Enable\nWhen WAKE_EN is set and the TMR_IS or TCAP_IS is set, the timer controller will generate a wake-up trigger event to CPU. 2 1 read-write 0 Wake-up trigger event Disabled #0 1 Wake-up trigger event Enabled #1 TMR_DR TMR_DR Timer x Data Register 0x14 read-only n 0x0 0x0 TDR Timer Data Register\nUser can read this register for internal 24-bit timer up-counter value. 0 24 read-only TMR_IER TMR_IER Timer x Interrupt Enable Register 0xC read-write n 0x0 0x0 TCAP_IE Timer Capture Function Interrupt Enable\nNote: If timer external pin function interrupt is enabled, the timer asserts its interrupt signal when the TCAP_EN is set and the transition of external pin matches the TCAP_EDGE setting 1 1 read-write 0 Timer External Pin Function Interrupt Disabled #0 1 Timer External Pin Function Interrupt Enabled #1 TMR_IE Timer Interrupt Enable\nNote: If timer interrupt is enabled, the timer asserts its interrupt signal when the associated counter is equal to TMR_CMPR. 0 1 read-write 0 Timer Interrupt Disabled #0 1 Timer Interrupt Enabled #1 TMR_ISR TMR_ISR Timer x Interrupt Status Register 0x10 read-write n 0x0 0x0 NCAP_DET_STS New Capture Detected Status\nThis status is to indicate there is a new incoming capture event detected before CPU clearing the TCAP_IS status.\nIf the above condition occurred, the Timer will keep register TMRx_CAP unchanged and drop the new capture value.\nThis bit is also cleared to 0 while TCAP_IS is cleared. 5 1 read-write 0 New incoming capture event didn't detect before CPU clearing TCAP_IS status #0 1 New incoming capture event detected before CPU clearing TCAP_IS status #1 TCAP_IS Timer Capture Function Interrupt Status\nThis bit indicates the external pin function interrupt status of Timer.\nThis bit is set by hardware when TCAP_EN is set high, and the transition of external pin matches the TCAP_EDGE setting. Write 1 to clear this bit to zero.\nIf this bit is active and TCAP_IE is enabled, Timer will trigger an interrupt to CPU. 1 1 read-write TMR_IS Timer Interrupt Status\nThis bit indicates the interrupt status of Timer.\nThis bit is set by hardware when the up counting value of internal 24-bit counter matches the timer compared value (TMR_CMPR). Write 1 to clear this bit to 0.\nIf this bit is active and TMR_IE is enabled, Timer will trigger an interrupt to CPU. 0 1 read-write TMR_Wake_STS Timer Wake-up Status\nIf timer causes CPU wakes up from power-down mode, this bit will be set to high. It must be cleared by software with a write 1 to this bit. 4 1 read-write 0 Timer does not cause system wake-up #0 1 Wakes system up from power-down mode by Timer timeout #1 TMR_PRECNT TMR_PRECNT Timer x Pre-Scale Counter Register 0x4 read-write n 0x0 0x0 PRESCALE_CNT Pre-scale Counter 0 8 read-write TMR_TCAP TMR_TCAP Timer x Capture Data Register 0x18 read-only n 0x0 0x0 CAP Timer Capture Data Register\nWhen TCAP_EN is set, TCAP_MODE is 0, and the transition of external pin matches the TCAP_EDGE setting, the value of 24-bit up-counting timer will be saved into register TMRx_TCAP. User can read this register for the counter value. 0 24 read-only TMR3 TMR Register Map TMR 0x0 0x0 0x1C registers n TMR_CMPR TMR_CMPR Timer x Compare Register 0x8 read-write n 0x0 0x0 TMR_CMP Timer Compared Value\nTMR_CMP is a 24-bit compared register. When the internal 24-bit up-counter counts and its value is equal to TMR_CMP value, a Timer Interrupt is requested if the timer interrupt is enabled with TMR_IER [TMR_IE] is enabled. The TMR_CMP value defines the timer counting cycle time.\nNote1: Never write 0x0 or 0x1 in TMR_CMP, or the core will run into unknown state.\nNote2: No matter TMR_CTL [TMR_EN] is 0 or 1, whenever software write a new value into this register, TIMER will restart counting using this new value and abort previous count. 0 24 read-write TMR_CTL TMR_CTL Timer x Control Register 0x0 read-write n 0x0 0x0 ADC_TEEN TMR_IS or TCAP_IS Trigger ADC Enable\nThis bit controls if TMR_IS or TCAP_IS could trigger ADC.\nWhen ADC_TEEN is set, TMR_IS is set and the CAP_TRG_EN is low, the timer controller will generate an internal trigger event to ADC controller.\nWhen ADC_TEEN is set, TCAP_IS is set and the CAP_TRG_EN is high, the timer controller will generate an internal trigger event to ADC controller. 8 1 read-write 0 TMR_IS or TCAP_IS trigger ADC Disabled #0 1 TMR_IS or TCAP_IS trigger ADC Enabled #1 CAP_CNT_MOD Timer Capture Counting Mode Selection\nThis bit indicates the behavior of 24-bit up-counting timer while TCAP_EN is set to high.\nIf this bit is 0, the free-counting mode, the behavior of 24-bit up-counting timer is defined by MODE_SEL field. When TCAP_EN is set, TCAP_MODE is 0, and the transition of Tcapture pin matches the TCAP_EDGE setting, the value of 24-bit up-counting timer will be saved into register TMRx_TCAPn.\nIf this bit is 1, Trigger-counting mode, 24-bit up-counting timer will be not counting and keep its value at zero. When TCAP_EN is set, TCAP_MODE is 0, and once the transition of external pin matches the 1st transition of TCAP_EDGE setting, the 24-bit up-counting timer will start counting. And then if the transition of external pin matches the 2nd transition of TCAP_EDGE setting, the 24-bit up-counting timer will stop counting. And its value will be saved into register TMRx_TCAPn.\nNote: For TMRx+1_CTL, if INTR_TRG_EN is set, the CAP_CNT_MOD will be forced to high, the capture with Trigger-counting Timer mode. 20 1 read-write 0 Capture with free-counting timer mode #0 1 Capture with trigger-counting timer mode #1 CAP_DEB_EN Tcapture Pin De-bounce Enable\nWhen CAP_DEB_EN is set, the Tcapture pin de-bounce circuit will be enabled to eliminate the bouncing of the signal.\nIn de-bounce circuit the Tcapture pin signal will be sampled 4 times by TMRx_CLK.\nNote: When TCAP_EN is enabled, enable this bit is recommended. And, while TCAP_EN is disabled, disable this bit is recommended to save power consumption. 22 1 read-write 0 De-bounce circuit Disabled #0 1 De-bounce circuit Enabled #1 CAP_TRG_EN TCAP_IS Trigger Mode Enable\nThis bit controls if the TMR_IS or TCAP_IS is used to trigger PDMA, DAC and ADC while TMR_IS or TCAP_IS is set.\nIf this bit is low and TMR_IS is set, timer will generate an internal trigger event to PDMA, DAC or ADC while related trigger enable bit (PDMA_TEEN, DAC_TEEN or ADC_TEEN) is also set.\nIf this bit is set high and TCAP_IS is set, timer will generate an internal trigger event to PDMA, DAC or ADC while related trigger enable bit (PDMA_TEEN, DAC_TEEN or ADC_TEEN) is also set. 11 1 read-write 0 TMR_IS is used to trigger PDMA, DAC and ADC #0 1 TCAP_IS is used to trigger PDMA, DAC and ADC #1 DAC_TEEN TMR_IS or TCAP_IS Trigger DAC Enable\nThis bit controls if TMR_IS or TCAP_IS could trigger DAC.\nWhen DAC_TEEN is set, TMR_IS is set and the CAP_TRG_EN is low, the timer controller will generate an internal trigger event to DAC controller.\nWhen DAC_TEEN is set, TCAP_IS is set and the CAP_TRG_EN is high, the timer controller will generate an internal trigger event to DAC controller. 9 1 read-write 0 TMR_IS or TCAP_IS trigger DAC Disabled #0 1 TMR_IS or TCAP_IS trigger DAC Enabled #1 DBGACK_EN ICE Debug Mode Acknowledge Ineffective Enable 3 1 read-write 0 ICE debug mode acknowledgement effects TIMER counting and TIMER counter will be held while ICE debug mode acknowledged #0 1 ICE debug mode acknowledgement is ineffective and TIMER counter will keep going no matter ICE debug mode acknowledged or not #1 EVENT_EDGE Event Counting Mode Edge Selection\nThis bit indicates which edge of external event pin enabling the timer to increase 1. 13 1 read-write 0 A falling edge of external event enabling the timer to increase 1 #0 1 A rising edge of external event enabling the timer to increase 1 #1 EVENT_EN Event Counting Mode Enable\nWhen EVENT_EN is set, the increase of 24-bit up-counting timer is controlled by external event pin.\nWhile the transition of external event pin matches the definition of EVENT_EDGE, the 24-bit up-counting timer increases by 1. Or, the 24-bit up-counting timer will keep its value unchanged. 12 1 read-write 0 Timer counting is not controlled by external event pin #0 1 Timer counting is controlled by external event pin #1 EVNT_DEB_EN External Event De-bounce Enable\nWhen EVNT_DEB_EN is set, the external event pin de-bounce circuit will be enabled to eliminate the bouncing of the signal.\nIn de-bounce circuit the external event pin will be sampled 4 times by TMRx_CLK.\nNote: When EVENT_EN is enabled, enable this bit is recommended. And, while EVENT_EN is disabled, disable this bit is recommended to save power consumption. 14 1 read-write 0 De-bounce circuit Disabled #0 1 De-bounce circuit Enabled #1 INTR_TRG_EN Inter-Timer Trigger Mode Enable\nThis bit controls if Inter-timer Trigger mode is enabled.\nIf Inter-timer Trigger mode is enabled, the TMRx will be in counter mode and counting with external Clock Source or event. And, TMRx+1 will be in trigger-counting mode of capture function.\nNote: For TMRx+1_CTL, this bit is ignored and the read back value is always 1'b0. 24 1 read-write 0 Inter-timer trigger mode Disabled #0 1 Inter-timer trigger mode Enabled #1 MODE_SEL Timer Operating Mode Select 4 2 read-write PDMA_TEEN TMR_IS or TCAP_IS Trigger PDMA Enable\nThis bit controls if TMR_IS or TCAP_IS could trigger PDMA.\nWhen PDMA_TEEN is set, TMR_IS is set and the CAP_TRG_EN is low, the timer controller will generate an internal trigger event to PDMA controller.\nWhen PDMA_TEEN is set, TCAP_IS is set and the CAP_TRG_EN is high, the timer controller will generate an internal trigger event to PDMA controller. 10 1 read-write 0 TMR_IS or TCAP_IS trigger PDMA Disabled #0 1 TMR_IS or TCAP_IS trigger PDMA Enabled #1 SW_RST Software Reset\nSet this bit will reset the timer counter, pre-scale counter and also force TMR_CTL [TMR_EN] to 0.\nNote: This bit will be auto cleared and takes at least 3 TMRx_CLK clock cycles. 1 1 read-write 0 No effect #0 1 Reset Timer's pre-scale counter, internal 24-bit up-counter and TMR_CTL [TMR_EN] bit #1 TCAP_EDGE Tcapture Pin Edge Detect Selection 18 2 read-write TCAP_EN Tcapture Pin Functional Enable\nThis bit controls if the transition on Tcapture pin could be used as timer counter reset function or timer capture function.\nNote: For TMRx_CTL, if INTR_TRG_EN is set, the TCAP_EN will be forced to low and the Tcapture pin transition is ignored.\nNote: For TMRx+1_CTL, if INTR_TRG_EN is set, the TCAP_EN will be forced to high. 16 1 read-write 0 The transition on Tcapture pin is ignored #0 1 The transition on Tcapture pin will result in the capture or reset of 24-bit timer counter #1 TCAP_MODE Tcapture Pin Function Mode Selection\nThis bit indicates if the transition on Tcapture pin is used as timer counter reset function or timer capture function.\nNote: For TMRx+1_CTL, if INTR_TRG_EN is set, the TCAP_MODE will be forced to low. 17 1 read-write 0 The transition on Tcapture pin is used as timer capture function #0 1 The transition on Tcapture pin is used as timer counter reset function #1 TMR_ACT Timer Active Status Bit (Read Only)\nThis bit indicates the timer counter status of timer. 7 1 read-only 0 Timer is not active #0 1 Timer is in active #1 TMR_EN Timer Counter Enable Bit 0 1 read-write 0 Stops/Suspends counting #0 1 Starts counting #1 WAKE_EN Wake-up Enable\nWhen WAKE_EN is set and the TMR_IS or TCAP_IS is set, the timer controller will generate a wake-up trigger event to CPU. 2 1 read-write 0 Wake-up trigger event Disabled #0 1 Wake-up trigger event Enabled #1 TMR_DR TMR_DR Timer x Data Register 0x14 read-only n 0x0 0x0 TDR Timer Data Register\nUser can read this register for internal 24-bit timer up-counter value. 0 24 read-only TMR_IER TMR_IER Timer x Interrupt Enable Register 0xC read-write n 0x0 0x0 TCAP_IE Timer Capture Function Interrupt Enable\nNote: If timer external pin function interrupt is enabled, the timer asserts its interrupt signal when the TCAP_EN is set and the transition of external pin matches the TCAP_EDGE setting 1 1 read-write 0 Timer External Pin Function Interrupt Disabled #0 1 Timer External Pin Function Interrupt Enabled #1 TMR_IE Timer Interrupt Enable\nNote: If timer interrupt is enabled, the timer asserts its interrupt signal when the associated counter is equal to TMR_CMPR. 0 1 read-write 0 Timer Interrupt Disabled #0 1 Timer Interrupt Enabled #1 TMR_ISR TMR_ISR Timer x Interrupt Status Register 0x10 read-write n 0x0 0x0 NCAP_DET_STS New Capture Detected Status\nThis status is to indicate there is a new incoming capture event detected before CPU clearing the TCAP_IS status.\nIf the above condition occurred, the Timer will keep register TMRx_CAP unchanged and drop the new capture value.\nThis bit is also cleared to 0 while TCAP_IS is cleared. 5 1 read-write 0 New incoming capture event didn't detect before CPU clearing TCAP_IS status #0 1 New incoming capture event detected before CPU clearing TCAP_IS status #1 TCAP_IS Timer Capture Function Interrupt Status\nThis bit indicates the external pin function interrupt status of Timer.\nThis bit is set by hardware when TCAP_EN is set high, and the transition of external pin matches the TCAP_EDGE setting. Write 1 to clear this bit to zero.\nIf this bit is active and TCAP_IE is enabled, Timer will trigger an interrupt to CPU. 1 1 read-write TMR_IS Timer Interrupt Status\nThis bit indicates the interrupt status of Timer.\nThis bit is set by hardware when the up counting value of internal 24-bit counter matches the timer compared value (TMR_CMPR). Write 1 to clear this bit to 0.\nIf this bit is active and TMR_IE is enabled, Timer will trigger an interrupt to CPU. 0 1 read-write TMR_Wake_STS Timer Wake-up Status\nIf timer causes CPU wakes up from power-down mode, this bit will be set to high. It must be cleared by software with a write 1 to this bit. 4 1 read-write 0 Timer does not cause system wake-up #0 1 Wakes system up from power-down mode by Timer timeout #1 TMR_PRECNT TMR_PRECNT Timer x Pre-Scale Counter Register 0x4 read-write n 0x0 0x0 PRESCALE_CNT Pre-scale Counter 0 8 read-write TMR_TCAP TMR_TCAP Timer x Capture Data Register 0x18 read-only n 0x0 0x0 CAP Timer Capture Data Register\nWhen TCAP_EN is set, TCAP_MODE is 0, and the transition of external pin matches the TCAP_EDGE setting, the value of 24-bit up-counting timer will be saved into register TMRx_TCAP. User can read this register for the counter value. 0 24 read-only UART0 UART Register Map UART 0x0 0x0 0x28 registers n 0x30 0xC registers n UART_ALT_CSR UART_ALT_CSR UART Alternate Control State Register. 0x34 read-write n 0x0 0x0 ADDR_PID_MATCH Address / PID Match Value Register\nThis field contains the RS-485 address match values in RS-485 Function mode.\nThis field contains the LIN protected identifier field n LIN Function mode, software fills ID0~ID5 (ADDR_PID_MATCH [5:0]), hardware will calculate P0 and P1.\n\nNote: This field is used for RS-485 auto address detection mode or used for LIN protected identifier field (PID). 24 8 read-write Bit_ERR_EN Bit Error Detect Enable\nNote: In LIN function mode, when bit error occurs, hardware will generate an interrupt to CPU (INT_LIN). 8 1 read-write 0 Bit error detection function Disabled #0 1 Bit error detection Enabled #1 LIN_HEAD_SEL LIN Header Selection\n 4 2 read-write LIN_RX_EN LIN RX Enable\nWhen LIN RX mode enabled and received a break field or sync field or PID field (Select by LIN_Header_SEL), the controller will generator a interrupt to CPU (INT_LIN) 6 1 read-write 0 LIN RX mode Disabled #0 1 LIN RX mode Enabled #1 LIN_TX_BCNT LIN TX Break Field Count Register\nThe field contains 3-bit LIN TX break field count.\nNote: The break field length is LIN_TX_BCNT + 8. 0 3 read-write LIN_TX_EN LIN TX Header Trigger Enable\nNote1: When TX header field (break field or break and sync field or break, sync and PID field) transfer operation finished, this bit will be cleared automatically and generate a interrupt to CPU (INT_LIN).\nNote2: If user wants to receive transmit data, it recommended to enable LIN_RX_EN bit. 7 1 read-write 0 LIN TX Header Trigger Disabled #0 1 LIN TX Header Trigger Enabled #1 RS_485_AAD RS-485 Auto Address Detection Operation Mode (RS-485 AAD Mode)\nNote: It can't be active in RS-485_NMM Operation mode. 17 1 read-write 0 RS-485 Auto Address Detection Operation mode (AAD) Disabled #0 1 RS-485 Auto Address Detection Operation mode (AAD) Enabled #1 RS_485_ADD_EN RS-485 Address Detection Enable\nNote: This field is used for RS-485 any operation mode. 19 1 read-write 0 Address detection mode Disabled #0 1 Address detection mode Enabled #1 RS_485_AUD RS-485 Auto Direction Mode (RS-485 AUD Mode)\nNote: It can be active in RS-485_AAD or RS-485_NMM operation mode. 18 1 read-write 0 RS-485 Auto Direction mode (AUD) Disabled #0 1 RS-485 Auto Direction mode (AUD) Enabled #1 RS_485_NMM RS-485 Normal Multi-Drop Operation Mode (RS-485 NMM Mode)\nNote: It can't be active in RS-485_AAD Operation mode. 16 1 read-write 0 RS-485 Normal Multi-drop Operation mode (NMM) Disabled #0 1 RS-485 Normal Multi-drop Operation mode (NMM) Enabled #1 UART_BAUD UART_BAUD UART Baud Rate Divisor Register 0x24 read-write n 0x0 0x0 BRD Baud Rate Divider 0 16 read-write DIV_16_EN Divider 16 Enable\nNote: In IrDA mode, this bit must disable. 31 1 read-write 0 The equation of baud rate is UART_CLK / [ (BRD+1)] #0 1 The equation of baud rate is UART_CLK / [16 * (BRD+1)] #1 UART_CTL UART_CTL UART Control State Register. 0x4 read-write n 0x0 0x0 ABAUD_EN Auto-Baud Rate Detect Enable\nNote: When the auto-baud rate detect operation finishes, hardware will clear this bit and the associated interrupt (INT_ABAUD) will be generated (If UART_IER [ABAUD_IE] be enabled). 12 1 read-write 0 Auto-baud rate detect function Disabled #0 1 Auto-baud rate detect function Enabled #1 AUTO_CTS_EN CTSn Auto-Flow Control Enable \nNote: When CTSn auto-flow is enabled, the UART will send data to external device when CTSn input assert (UART will not send data to device until CTSn is asserted). 5 1 read-write 0 CTSn auto-flow control. Disabled #0 1 CTSn auto-flow control Enabled #1 AUTO_RTS_EN RTSn Auto-Flow Control Enable \nNote: When RTSn auto-flow is enabled, if the number of bytes in the RX-FIFO equals the UART_FCR [RTS_Tri_Lev], the UART will reassert RTSn signal. 4 1 read-write 0 RTSn auto-flow control. Disabled #0 1 RTSn auto-flow control Enabled #1 DMA_RX_EN RX DMA Enable \nThis bit can enable or disable RX PDMA service. 6 1 read-write 0 RX PDMA service function Enabled #0 1 RX PDMA service function Disabled #1 DMA_TX_EN TX DMA Enable \nThis bit can enable or disable TX PDMA service. 7 1 read-write 0 TX PDMA service function Enabled #0 1 TX PDMA service function Disabled #1 RX_DIS Receiver Disable Register. The receiver is disabled or not (set 1 to disable receiver) Note1: When used for RS-485 NMM mode, user can set this bit to receive data before detecting address byte. Note2: In RS-485 AAD mode and LIN break + sync +PID header mode, hardware will control data automatically, so don't fill any value to this bit. 2 1 read-write 0 Receiver Enabled #0 1 Receiver Disabled #1 RX_RST RX Software Reset\nWhen RX_RST is set, all the bytes in the receiving FIFO and RX internal state machine are cleared.\nNote: This bit will be auto cleared and take at least 3 UART engine clock cycles. 0 1 read-write 0 No effect #0 1 Reset the RX internal state machine and pointers #1 TX_DIS Transfer Disable Register. The receiver is disabled or not (set 1 to disable receiver) 3 1 read-write 0 Transfer Enabled #0 1 Transfer Disabled #1 TX_RST TX Software Reset\nWhen TX_RST is set, all the bytes in the transmitting FIFO and TX internal state machine are cleared.\nNote: This bit will be auto cleared and take at least 3 UART engine clock cycles. 1 1 read-write 0 No effect #0 1 Reset the TX internal state machine and pointers #1 WAKE_CTS_EN CTSn Wake-Up Function Enable 8 1 read-write 0 CTSn wake-up system function Disabled #0 1 Wake-up function Enabled when the system is in power-down mode, an external CTSn change will wake-up system from power-down mode #1 WAKE_DATA_EN Incoming Data Wake-up Function Enable Note: Hardware will clear this bit when the incoming data wake-up operation finishes and system clock work stable. 9 1 read-write 0 Incoming data wake-up system Disabled #0 1 Incoming data wake-up function Enabled when the system is in power-down mode, incoming data will wake-up system from power-down mode #1 UART_FSR UART_FSR UART FIFO State Status Register. 0x18 read-write n 0x0 0x0 BI_F Break Status Flag (Read Only)\nThis bit is set to a logic "1" whenever the received data input(RX) is held in the "spacing state" (logic "0") for longer than a full word transmission time (that is, the total time of "start bit" + data bits + parity + stop bits) and it is reset whenever the CPU writes "1" to this bit.\nNote: This bit is read only, but it can be cleared by writing "1" to it. 6 1 read-only FE_F Framing Error Status Flag (Read Only)\nThis bit is set to logic "1" whenever the received character does not have a valid "stop bit" (that is, the stop bit following the last data bit or parity bit is detected as a logic "0"), and it is reset whenever the CPU writes "1" to this bit.\nNote: This bit is read only, but it can be cleared by writing "1" to it. 5 1 read-only PE_F Parity Error State Status Flag (Read Only)\nThis bit is set to logic "1" whenever the received character does not have a valid "parity bit", and it is reset whenever the CPU writes "1" to this bit.\nNote: This bit is read only, but it can be cleared by writing "1" to it. 4 1 read-only RX_EMPTY_F Receiver FIFO Empty (Read Only)\nThis bit initiate RX-FIFO empty or not.\nWhen the last byte of RX-FIFO has been read by CPU, hardware sets this bit high. It will be cleared when UART receives any new data. 1 1 read-only RX_FULL_F Receiver FIFO Full (Read Only)\nThis bit initiates RX-FIFO full or not.\nThis bit is set when RX_POINTER_F is equal to 16, otherwise is cleared by hardware. 2 1 read-only RX_OVER_F RX Overflow Error Status Flag (Read Only) \nThis bit is set when RX-FIFO overflow.\nIf the number of bytes of received data is greater than RX-FIFO (UART_RBR) size, 16 bytes of UART0/UART1, this bit will be set.\nNote: This bit is read only, but it can be cleared by writing "1" to it. 0 1 read-only RX_POINTER_F RX-FIFO Pointer (Read Only)\nThis field indicates the RX-FIFO Buffer Pointer. When UART receives one byte from external device, RX_POINTER_F increases one. When one byte of RX-FIFO is read by CPU, RX_POINTER_F decreases one. 16 5 read-only TE_F Transmitter Empty Status Flag (Read Only)\nBit is set by hardware when TX is inactive. (TX shift register does not have data)\nBit is cleared automatically when TX-FIFO is transfer data to TX shift register or TX is empty but the transfer does not finish. 11 1 read-only TX_EMPTY_F Transmitter FIFO Empty (Read Only)\nThis bit indicates TX-FIFO empty or not.\nWhen the last byte of TX-FIFO has been transferred to Transmitter Shift Register, hardware sets this bit high. It will be cleared when writing data into THR (TX-FIFO not empty). 9 1 read-only TX_FULL_F Transmitter FIFO Full (Read Only)\nThis bit indicates TX-FIFO full or not.\nThis bit is set when TX_POINTER_F is equal to 16, otherwise is cleared by hardware. 10 1 read-only TX_OVER_F TX Overflow Error Interrupt Status Flag (Read Only)\nIf TX-FIFO (UART_THR) is full, an additional write to UART_THR will cause this bit to logic "1". \nNote: This bit is read only, but it can be cleared by writing "1" to it. 8 1 read-only TX_POINTER_F TX-FIFO Pointer (Read Only)\nThis field indicates the TX-FIFO Buffer Pointer. When CPU writes one byte data into UART_THR, TX_POINTER_F increases one. When one byte of TX-FIFO is transferred to Transmitter Shift Register, TX_POINTER_F decreases one. 24 5 read-only UART_FUN_SEL UART_FUN_SEL UART Function Select Register. 0x38 read-write n 0x0 0x0 FUN_SEL Function Select Enable 0 2 read-write UART_IER UART_IER UART Interrupt Enable Register. 0xC read-write n 0x0 0x0 ABAUD_IE Auto-Baud Rate Interrupt Enable 7 1 read-write 0 INT_ABAUD Masked off #0 1 INT_ABAUD Enabled #1 BUF_ERR_IE Buffer Error Interrupt Enable 5 1 read-write 0 INT_BUT_ERR Masked off #0 1 INT_BUF_ERR Enabled #1 LIN_IE LIN Interrupt Enable 8 1 read-write 0 INT_LIN Masked off #0 1 INT_LIN Enabled #1 MODEM_IE Modem Status Interrupt Enable 3 1 read-write 0 INT_MOS Masked off #0 1 INT_MOS Enabled #1 RDA_IE Receive Data Available Interrupt Enable 0 1 read-write 0 INT_RDA Masked off #0 1 INT_RDA Enabled #1 RLS_IE Receive Line Status Interrupt Enable 2 1 read-write 0 INT_RLS Masked off #0 1 INT_RLS Enabled #1 RTO_IE RX Time-Out Interrupt Enable 4 1 read-write 0 INT_TOUT Masked off #0 1 INT_TOUT Enabled #1 THRE_IE Transmit Holding Register Empty Interrupt Enable 1 1 read-write 0 INT_THRE Masked off #0 1 INT_THRE Enabled #1 WAKE_IE Wake-Up Interrupt Enable 6 1 read-write 0 INT_WAKE Masked off #0 1 INT_WAKE Enabled #1 UART_IRCR UART_IRCR UART IrDA Control Register. 0x30 read-write n 0x0 0x0 INV_RX INV_RX 6 1 read-write 0 No inversion #0 1 Inverse RX input signal #1 INV_TX INV_TX 5 1 read-write 0 No inversion #0 1 Inverse TX output signal #1 TX_SELECT TX_SELECT\nNote: In IrDA mode, the UART_BAUD [DIV_16_EN) register must be set (the baud equation must be Clock / 16 * (BRD) 1 1 read-write 0 IrDA receiver Enabled #0 1 IrDA transmitter Enabled #1 UART_ISR UART_ISR UART Interrupt Status Register. 0x10 -1 read-write n 0x0 0x0 ABAUD_IS Auto-Baud Rate Interrupt Status Flag (Read Only) This bit is set when auto-baud rate detection function finished or the auto-baud rate counter was overflow and if IER [ABAUD_IE] is set then the auto-baud rate interrupt will be generated. Note1: This bit is read only, but can be cleared by it by writing 1 to UART_TRSR [ABAUD_TOUT_F] or UART_TRSR [ABAUD_F]. Note2: This bit is cleared when both the ABAUD_TOUT_F and ABAUD_F are cleared. 7 1 read-only BUF_ERR_IS Buffer Error Interrupt Status Flag (Read Only) This bit is set when the TX or RX-FIFO overflowed. When BUF_ERR_IS is set, the transfer maybe not correct. If IER [BUF_ER_IEN] is set then the buffer error interrupt will be generated. Note1: This bit is read only, but can be cleared by it by writing 1 to UART_FSR [TX_OVER_F] or UART_FSR [RX_OVER_F]. Note2: This bit is cleared when both the TX_OVER_F and RX_OVER_F are cleared. 5 1 read-only LIN_IS LIN Interrupt Status Flag (Read Only) This bit is set when the LIN TX header transmitted, RX header received or the SIN does not equal SOUT and if IER [LIN_IE] is set then the LIN interrupt will be generated. Note1: This bit is read only, but can be cleared by it by writing 1 to UART_TRSR [BIT_ERR_F], UART_TRSR [BIT_TX_F] or UART_TRSR [LIN_RX_F]. Note2: This bit is cleared when both the BIT_ERR_F, BIT_TX_F and LIN_RX_F are cleared. 8 1 read-only MODEM_IS MODEM Interrupt Status Flag (Read Only) Note: This bit is read only, but can be cleared by it by writing 1 to UART_MCSR [DCT_F]. 3 1 read-only RDA_IS Receive Data Available Interrupt Flag (Read Only). When the number of bytes in the RX-FIFO equals the RFITL then the RDA_IF will be set. If IER [RDA_IEN] is set then the RDA interrupt will be generated. Note: This bit is read only and it will be cleared when the number of unread bytes of RX-FIFO drops below the threshold level (RFITL). 0 1 read-only RLS_IS Receive Line Interrupt Status Flag (Read Only). This bit is set when the RX received data has parity error (UART_FSR [PE_F]), framing error (UART_FSR [FE_F]), break error (UART_FSR [BI_F]) or RS-485 detect address byte (UART_TRSR [RS-485_ADDET_F]).If IER [RLS_IEN] is set then the RLS interrupt will be generated. Note1: This bit is read only, but can be cleared by it by writing 1 to UART_FSR [BI_F], UART_FSR [FE_F], UART_FSR [PE_F] or UART_TRSR [RS-485_ADDET_F]. Note2: This bit is cleared when both the BI_F, FE_F, PE_F and RS-485_ADDET_F are cleared. 2 1 read-only RTO_IS RX Time-Out Interrupt Status Flag (Read Only)\nThis bit is set when the RX-FIFO is not empty and no activities occur in the RX-FIFO and the time-out counter equal to TOIC. If IER [Tout_IEN] is set then the tout interrupt will be generated. \nNote: This bit is read only and user can read UART_RBR (RX is in active) to clear it. 4 1 read-only THRE_IS Transmit Holding Register Empty Interrupt Flag (Read Only). \nThis bit is set when the last data of TX-FIFO is transferred to Transmitter Shift Register. If IER [THRE_IEN] is set that the THRE interrupt will be generated.\nNote: This bit is read only and it will be cleared when writing data into THR (TX-FIFO not empty). 1 1 read-only WAKE_IS Wake-Up Interrupt Status Flag (Read Only) This bit is set in Power-down mode, the receiver received data or CTSn signal. If IER [WAKE_IE] is set then the wake-up interrupt will be generated. Note: This bit is read only, but can be cleared by it by writing 1 to it. 6 1 read-only UART_MCSR UART_MCSR UART Modem State Status Register. 0x1C read-write n 0x0 0x0 CTS_ST CTSn Pin Status (Read Only)\nThis bit is the pin status of CTSn. 17 1 read-only DCT_F Detect CTSn State Change Status Flag (Read Only)\nThis bit is set whenever CTSn input has change state, and it will generate Modem interrupt to CPU when UART_IER [Modem_IEN].\nNote: This bit is read only, but it can be cleared by writing "1" to it. 18 1 read-only LEV_CTS CTSn Trigger Level 16 1 read-write 0 Low level triggered #0 1 High level triggered #1 LEV_RTS RTSn Trigger Level 0 1 read-write 0 low level triggered #0 1 high level triggered #1 RTS_ST RTSn Pin State (Read Only)\nThis bit is the pin status of RTSn. 1 1 read-only UART_RBR UART_RBR UART Receive Buffer Register. 0x0 read-only n 0x0 0x0 RBR Receive Buffer Register\nBy reading this register, the UART will return an 8-bit data received from RX pin (LSB first). 0 8 read-only UART_THR UART_THR UART Transmit Holding Register. UART_RBR 0x0 write-only n 0x0 0x0 THR Transmit Holding Register\nBy writing to this register, the UART will send out an 8-bit data through the TX pin (LSB first). 0 8 write-only UART_TLCTL UART_TLCTL UART Transfer Line Control Register. 0x8 read-write n 0x0 0x0 BCB Break Control Bit When this bit is set to logic 1 , the serial data output (TX) is forced to the Spacing State (logic 0 ). This bit acts only on TX pin and has no effect on the transmitter logic. 6 1 read-write DATA_LEN Data Length 0 2 read-write EPE Even Parity Enable\nNote: This bit has effect only when PBE bit (parity bit enable) is set. 4 1 read-write 0 Odd number of logic 1's are transmitted or check the data word and parity bits in receiving mode #0 1 Even number of logic 1's are transmitted or check the data word and parity bits in receiving mode #1 NSB Number of STOP Bit Length 2 1 read-write 0 1 STOP bit is generated in the transmitted data #0 1 1.5 STOP bit is generated in the transmitted data when 5-bit word length is selected, and 2 STOP bit is generated when 6, 7 and 8 bits data length is selected #1 PBE Parity Bit Enable 3 1 read-write 0 Parity bit is not generated (transmitting data) or checked (receiving data) during transfer #0 1 Parity bit is generated or checked bet een the last data word it and stop bit of the serial data #1 RFITL RX-FIFO Interrupt (INT_RDA) Trigger Level 8 2 read-write RTS_TRI_LEV RTSn Trigger Level (For Auto-flow Control Use) 12 2 read-write SPE Stick Parity Enable 5 1 read-write 0 Stick parity Disabled #0 1 When bits PBE, EPE and SPE are set, the parity bit is transmitted and checked as 0 . When PBE and SPE are set and EPE is cleared, the parity bit is transmitted and checked as 1 . In RS-485 mode, PBE, EPE and SPE can control bit 9, the bit 9 setting are shown as follows #1 UART_TMCTL UART_TMCTL UART Time-Out Control State Register. 0x20 read-write n 0x0 0x0 DLY TX Delay Time Value\nThis field is use to program the transfer delay time between the last stop bit leaving the TX-FIFO and the de-assertion of by setting UART_TOR [DLY] register.\n\n\nNote1: Fill all "0" to this field indicates to disable this function.\nNote2: The real delay value is DLY.\nNote3: The counting clock is baud rate clock. 16 8 read-write TOIC Time-Out Comparator\nNote1: Fill all "0" to this field indicates to disable this function.\nNote2: The real time-out value is TOIC + 1.\nNote3: The counting clock is baud rate clock. 0 9 read-write UART_TRSR UART_TRSR UART Transfer State Status Register. 0x14 read-write n 0x0 0x0 ABAUD_F Auto-Baud Rate Interrupt (Read Only) This bit is set to logic 1 when auto-baud rate detect function finished. Note: This bit is read only, but can be cleared by writing 1 to it. 1 1 read-only ABAUD_TOUT_F Auto-Baud Rate Time-Out Interrupt (Read Only) This bit is set to logic 1 in Auto-baud Rate Detect mode and the baud rate counter is overflow. Note: This bit is read only, but can be cleared by writing 1 to it. 2 1 read-only BIT_ERR_F Bit Error Detect Status Flag (Read Only)\nAt TX transfer state, hardware will monitoring the bus state, if the input pin (SIN) state is not equal to the output pin (SOUT) state, BIT_ERR_F will be set.\nWhen occur bit error, hardware will generate an interrupt to CPU (INT_LIN).\nNote1: This bit is read only, but it can be cleared by writing "1" to it. 5 1 read-only LIN_RX_F LIN RX Interrupt Flag (Read Only) This bit is set to logic 1 when received LIN header field. The header may be break field or break field + sync field or break field + sync field + PID field , and it can be choose by setting UART_ALT_CTL [LIN_HEAD_SEL] register. If the field includes break field , when the receiver received break field then the LIN_RX_F will be set. The controller will receive next data and put it in FIFO. If the field includes break field + sync field , hardware will wait for the flag LIN_RX_F in UART_TRSR to check RX received break field and sync field. If the break and sync field is received, hardware will set UART_TRSR [LIN_RX_F] flag, and if the break is received but the sync field does not equal 0x55, then hardware will set UART_TRSR [LIN_RX_F] and UART_TRSR [LIN_RX_SYNC_ERR_F] flag. The break and sync data (equals 0x55 or not) will not be stored in FIFO. If the field includes break field + sync field + PID field , In this operation mode, hardware will control data automatically. Hardware will ignore any data until received break + sync (0x55) + PID value match the UART_ALT_CTL [ADDR_MATCH] value (break + sync + PID will not be stored in FIFO). When received break + sync (0x55) + PID value match the UART_ALT_CTL [ADDR_MATCH] value, hardware will set UART_TRSR [LIN_RX_F] and the following all data will be accepted and stored in the RX-FIFO until detect next break field. If the receiver received break + wrong sync (not equal 0x55) + PID value, hardware will set UART_TRSR [LIN_RX_F] and UART_TRSR [LIN_RX_SYNC_ERR_F] flag and the receiver will be disabled. If the receiver received break + sync (0x55) + wrong PID value, hardware will set UART_TRSR [LIN_RX_F] flag and the receiver will be disabled. Note: This bit is read only, but can be cleared by writing 1 to it. 4 1 read-only LIN_RX_SYNC_ERR_F LIN RX SYNC Error Flag (Read Only)\nThis bit is set to logic "1" when LIN received incorrect SYNC field. \nUser can choose the header by setting UART_ALT_CTL [LIN_HEAD_SEL] register.\nIf the field includes "break field + sync field" and if the sync data does not equal 0x55, the LIN_RX_F and LIN_RX_SYNC_ERR_F will be set and the wrong sync data will be ignored. The controller will receive next data and put it in FIFO. \nIf the field includes "break field + sync field + PID field" and if the sync data does not equal 0x55, the LIN_RX_F and LIN_RX_SYNC_ERR_F will be set and the wrong sync data will be ignored. The controller will receive next data and put it in FIFO. \nNote: This bit is read only, but can be cleared by writing "1" to LIN_RX_F. 8 1 read-only LIN_TX_F LIN TX Interrupt Flag (Read Only) This bit is set to logic 1 when LIN transmitted header field. The header may be break field or break field + sync field or break field + sync field + PID field , it can be choose by setting UART_ALT_CTL[LIN_HEAD_SEL] register. Note: This bit is read only, but can be cleared by writing 1 to it. 3 1 read-only RS_485_ADDET_F RS-485 Address Byte Detection Status Flag (Read Only) Note1: This field is used for RS-485 mode. Note2: This bit is read only, but can be cleared by writing 1 to it. 0 1 read-only UART1 UART Register Map UART 0x0 0x0 0x28 registers n 0x30 0xC registers n UART_ALT_CSR UART_ALT_CSR UART Alternate Control State Register. 0x34 read-write n 0x0 0x0 ADDR_PID_MATCH Address / PID Match Value Register\nThis field contains the RS-485 address match values in RS-485 Function mode.\nThis field contains the LIN protected identifier field n LIN Function mode, software fills ID0~ID5 (ADDR_PID_MATCH [5:0]), hardware will calculate P0 and P1.\n\nNote: This field is used for RS-485 auto address detection mode or used for LIN protected identifier field (PID). 24 8 read-write Bit_ERR_EN Bit Error Detect Enable\nNote: In LIN function mode, when bit error occurs, hardware will generate an interrupt to CPU (INT_LIN). 8 1 read-write 0 Bit error detection function Disabled #0 1 Bit error detection Enabled #1 LIN_HEAD_SEL LIN Header Selection\n 4 2 read-write LIN_RX_EN LIN RX Enable\nWhen LIN RX mode enabled and received a break field or sync field or PID field (Select by LIN_Header_SEL), the controller will generator a interrupt to CPU (INT_LIN) 6 1 read-write 0 LIN RX mode Disabled #0 1 LIN RX mode Enabled #1 LIN_TX_BCNT LIN TX Break Field Count Register\nThe field contains 3-bit LIN TX break field count.\nNote: The break field length is LIN_TX_BCNT + 8. 0 3 read-write LIN_TX_EN LIN TX Header Trigger Enable\nNote1: When TX header field (break field or break and sync field or break, sync and PID field) transfer operation finished, this bit will be cleared automatically and generate a interrupt to CPU (INT_LIN).\nNote2: If user wants to receive transmit data, it recommended to enable LIN_RX_EN bit. 7 1 read-write 0 LIN TX Header Trigger Disabled #0 1 LIN TX Header Trigger Enabled #1 RS_485_AAD RS-485 Auto Address Detection Operation Mode (RS-485 AAD Mode)\nNote: It can't be active in RS-485_NMM Operation mode. 17 1 read-write 0 RS-485 Auto Address Detection Operation mode (AAD) Disabled #0 1 RS-485 Auto Address Detection Operation mode (AAD) Enabled #1 RS_485_ADD_EN RS-485 Address Detection Enable\nNote: This field is used for RS-485 any operation mode. 19 1 read-write 0 Address detection mode Disabled #0 1 Address detection mode Enabled #1 RS_485_AUD RS-485 Auto Direction Mode (RS-485 AUD Mode)\nNote: It can be active in RS-485_AAD or RS-485_NMM operation mode. 18 1 read-write 0 RS-485 Auto Direction mode (AUD) Disabled #0 1 RS-485 Auto Direction mode (AUD) Enabled #1 RS_485_NMM RS-485 Normal Multi-Drop Operation Mode (RS-485 NMM Mode)\nNote: It can't be active in RS-485_AAD Operation mode. 16 1 read-write 0 RS-485 Normal Multi-drop Operation mode (NMM) Disabled #0 1 RS-485 Normal Multi-drop Operation mode (NMM) Enabled #1 UART_BAUD UART_BAUD UART Baud Rate Divisor Register 0x24 read-write n 0x0 0x0 BRD Baud Rate Divider 0 16 read-write DIV_16_EN Divider 16 Enable\nNote: In IrDA mode, this bit must disable. 31 1 read-write 0 The equation of baud rate is UART_CLK / [ (BRD+1)] #0 1 The equation of baud rate is UART_CLK / [16 * (BRD+1)] #1 UART_CTL UART_CTL UART Control State Register. 0x4 read-write n 0x0 0x0 ABAUD_EN Auto-Baud Rate Detect Enable\nNote: When the auto-baud rate detect operation finishes, hardware will clear this bit and the associated interrupt (INT_ABAUD) will be generated (If UART_IER [ABAUD_IE] be enabled). 12 1 read-write 0 Auto-baud rate detect function Disabled #0 1 Auto-baud rate detect function Enabled #1 AUTO_CTS_EN CTSn Auto-Flow Control Enable \nNote: When CTSn auto-flow is enabled, the UART will send data to external device when CTSn input assert (UART will not send data to device until CTSn is asserted). 5 1 read-write 0 CTSn auto-flow control. Disabled #0 1 CTSn auto-flow control Enabled #1 AUTO_RTS_EN RTSn Auto-Flow Control Enable \nNote: When RTSn auto-flow is enabled, if the number of bytes in the RX-FIFO equals the UART_FCR [RTS_Tri_Lev], the UART will reassert RTSn signal. 4 1 read-write 0 RTSn auto-flow control. Disabled #0 1 RTSn auto-flow control Enabled #1 DMA_RX_EN RX DMA Enable \nThis bit can enable or disable RX PDMA service. 6 1 read-write 0 RX PDMA service function Enabled #0 1 RX PDMA service function Disabled #1 DMA_TX_EN TX DMA Enable \nThis bit can enable or disable TX PDMA service. 7 1 read-write 0 TX PDMA service function Enabled #0 1 TX PDMA service function Disabled #1 RX_DIS Receiver Disable Register.\nThe receiver is disabled or not (set "1" to disable receiver)\nNote1: When used for RS-485 NMM mode, user can set this bit to receive data before detecting address byte.\nNote2: In RS-485 AAD mode and LIN "break + sync +PID" header mode, hardware will control data automatically, so don't fill any value to this bit. 2 1 read-write 0 Receiver Enabled #0 1 Receiver Disabled #1 RX_RST RX Software Reset\nWhen RX_RST is set, all the bytes in the receiving FIFO and RX internal state machine are cleared.\nNote: This bit will be auto cleared and take at least 3 UART engine clock cycles. 0 1 read-write 0 No effect #0 1 Reset the RX internal state machine and pointers #1 TX_DIS Transfer Disable Register.\nThe receiver is disabled or not (set "1" to disable receiver) 3 1 read-write 0 Transfer Enabled #0 1 Transfer Disabled #1 TX_RST TX Software Reset\nWhen TX_RST is set, all the bytes in the transmitting FIFO and TX internal state machine are cleared.\nNote: This bit will be auto cleared and take at least 3 UART engine clock cycles. 1 1 read-write 0 No effect #0 1 Reset the TX internal state machine and pointers #1 WAKE_CTS_EN CTSn Wake-Up Function Enable 8 1 read-write 0 CTSn wake-up system function Disabled #0 1 Wake-up function Enabled when the system is in power-down mode, an external CTSn change will wake-up system from power-down mode #1 WAKE_DATA_EN Incoming Data Wake-up Function Enable \nNote: Hardware will clear this bit when the incoming data wake-up operation finishes and "system clock" work stable. 9 1 read-write 0 Incoming data wake-up system Disabled #0 1 Incoming data wake-up function Enabled when the system is in power-down mode, incoming data will wake-up system from power-down mode #1 UART_FSR UART_FSR UART FIFO State Status Register. 0x18 read-write n 0x0 0x0 BI_F Break Status Flag (Read Only)\nThis bit is set to a logic "1" whenever the received data input(RX) is held in the "spacing state" (logic "0") for longer than a full word transmission time (that is, the total time of "start bit" + data bits + parity + stop bits) and it is reset whenever the CPU writes "1" to this bit.\nNote: This bit is read only, but it can be cleared by writing "1" to it. 6 1 read-only FE_F Framing Error Status Flag (Read Only)\nThis bit is set to logic "1" whenever the received character does not have a valid "stop bit" (that is, the stop bit following the last data bit or parity bit is detected as a logic "0"), and it is reset whenever the CPU writes "1" to this bit.\nNote: This bit is read only, but it can be cleared by writing "1" to it. 5 1 read-only PE_F Parity Error State Status Flag (Read Only)\nThis bit is set to logic "1" whenever the received character does not have a valid "parity bit", and it is reset whenever the CPU writes "1" to this bit.\nNote: This bit is read only, but it can be cleared by writing "1" to it. 4 1 read-only RX_EMPTY_F Receiver FIFO Empty (Read Only)\nThis bit initiate RX-FIFO empty or not.\nWhen the last byte of RX-FIFO has been read by CPU, hardware sets this bit high. It will be cleared when UART receives any new data. 1 1 read-only RX_FULL_F Receiver FIFO Full (Read Only)\nThis bit initiates RX-FIFO full or not.\nThis bit is set when RX_POINTER_F is equal to 16, otherwise is cleared by hardware. 2 1 read-only RX_OVER_F RX Overflow Error Status Flag (Read Only) \nThis bit is set when RX-FIFO overflow.\nIf the number of bytes of received data is greater than RX-FIFO (UART_RBR) size, 16 bytes of UART0/UART1, this bit will be set.\nNote: This bit is read only, but it can be cleared by writing "1" to it. 0 1 read-only RX_POINTER_F RX-FIFO Pointer (Read Only)\nThis field indicates the RX-FIFO Buffer Pointer. When UART receives one byte from external device, RX_POINTER_F increases one. When one byte of RX-FIFO is read by CPU, RX_POINTER_F decreases one. 16 5 read-only TE_F Transmitter Empty Status Flag (Read Only)\nBit is set by hardware when TX is inactive. (TX shift register does not have data)\nBit is cleared automatically when TX-FIFO is transfer data to TX shift register or TX is empty but the transfer does not finish. 11 1 read-only TX_EMPTY_F Transmitter FIFO Empty (Read Only)\nThis bit indicates TX-FIFO empty or not.\nWhen the last byte of TX-FIFO has been transferred to Transmitter Shift Register, hardware sets this bit high. It will be cleared when writing data into THR (TX-FIFO not empty). 9 1 read-only TX_FULL_F Transmitter FIFO Full (Read Only)\nThis bit indicates TX-FIFO full or not.\nThis bit is set when TX_POINTER_F is equal to 16, otherwise is cleared by hardware. 10 1 read-only TX_OVER_F TX Overflow Error Interrupt Status Flag (Read Only)\nIf TX-FIFO (UART_THR) is full, an additional write to UART_THR will cause this bit to logic "1". \nNote: This bit is read only, but it can be cleared by writing "1" to it. 8 1 read-only TX_POINTER_F TX-FIFO Pointer (Read Only)\nThis field indicates the TX-FIFO Buffer Pointer. When CPU writes one byte data into UART_THR, TX_POINTER_F increases one. When one byte of TX-FIFO is transferred to Transmitter Shift Register, TX_POINTER_F decreases one. 24 5 read-only UART_FUN_SEL UART_FUN_SEL UART Function Select Register. 0x38 read-write n 0x0 0x0 FUN_SEL Function Select Enable 0 2 read-write UART_IER UART_IER UART Interrupt Enable Register. 0xC read-write n 0x0 0x0 ABAUD_IE Auto-Baud Rate Interrupt Enable 7 1 read-write 0 INT_ABAUD Masked off #0 1 INT_ABAUD Enabled #1 BUF_ERR_IE Buffer Error Interrupt Enable 5 1 read-write 0 INT_BUT_ERR Masked off #0 1 INT_BUF_ERR Enabled #1 LIN_IE LIN Interrupt Enable 8 1 read-write 0 INT_LIN Masked off #0 1 INT_LIN Enabled #1 MODEM_IE Modem Status Interrupt Enable 3 1 read-write 0 INT_MOS Masked off #0 1 INT_MOS Enabled #1 RDA_IE Receive Data Available Interrupt Enable 0 1 read-write 0 INT_RDA Masked off #0 1 INT_RDA Enabled #1 RLS_IE Receive Line Status Interrupt Enable 2 1 read-write 0 INT_RLS Masked off #0 1 INT_RLS Enabled #1 RTO_IE RX Time-Out Interrupt Enable 4 1 read-write 0 INT_TOUT Masked off #0 1 INT_TOUT Enabled #1 THRE_IE Transmit Holding Register Empty Interrupt Enable 1 1 read-write 0 INT_THRE Masked off #0 1 INT_THRE Enabled #1 WAKE_IE Wake-Up Interrupt Enable 6 1 read-write 0 INT_WAKE Masked off #0 1 INT_WAKE Enabled #1 UART_IRCR UART_IRCR UART IrDA Control Register. 0x30 read-write n 0x0 0x0 INV_RX INV_RX 6 1 read-write 0 No inversion #0 1 Inverse RX input signal #1 INV_TX INV_TX 5 1 read-write 0 No inversion #0 1 Inverse TX output signal #1 TX_SELECT TX_SELECT\nNote: In IrDA mode, the UART_BAUD [DIV_16_EN) register must be set (the baud equation must be Clock / 16 * (BRD) 1 1 read-write 0 IrDA receiver Enabled #0 1 IrDA transmitter Enabled #1 UART_ISR UART_ISR UART Interrupt Status Register. 0x10 read-write n 0x0 0x0 ABAUD_IS Auto-Baud Rate Interrupt Status Flag (Read Only)\nThis bit is set when auto-baud rate detection function finished or the auto-baud rate counter was overflow and if IER [ABAUD_IE] is set then the auto-baud rate interrupt will be generated.\nNote1: This bit is read only, but can be cleared by it by writing "1" to UART_TRSR [ABAUD_TOUT_F] or UART_TRSR [ABAUD_F].\nNote2: This bit is cleared when both the ABAUD_TOUT_F and ABAUD_F are cleared. 7 1 read-only BUF_ERR_IS Buffer Error Interrupt Status Flag (Read Only)\nThis bit is set when the TX or RX-FIFO overflowed. When BUF_ERR_IS is set, the transfer maybe not correct. If IER [BUF_ER_IEN] is set then the buffer error interrupt will be generated.\nNote1: This bit is read only, but can be cleared by it by writing "1" to UART_FSR [TX_OVER_F] or UART_FSR [RX_OVER_F].\nNote2: This bit is cleared when both the TX_OVER_F and RX_OVER_F are cleared. 5 1 read-only LIN_IS LIN Interrupt Status Flag (Read Only)\nThis bit is set when the LIN TX header transmitted, RX header received or the SIN does not equal SOUT and if IER [LIN_IE] is set then the LIN interrupt will be generated. \nNote1: This bit is read only, but can be cleared by it by writing "1" to UART_TRSR [BIT_ERR_F], UART_TRSR [BIT_TX_F] or UART_TRSR [LIN_RX_F].\nNote2: This bit is cleared when both the BIT_ERR_F, BIT_TX_F and LIN_RX_F are cleared. 8 1 read-only MODEM_IS MODEM Interrupt Status Flag (Read Only) \nNote: This bit is read only, but can be cleared by it by writing "1" to UART_MCSR [DCT_F]. 3 1 read-only RDA_IS Receive Data Available Interrupt Flag (Read Only).\nWhen the number of bytes in the RX-FIFO equals the RFITL then the RDA_IF will be set. If IER [RDA_IEN] is set then the RDA interrupt will be generated. \nNote: This bit is read only and it will be cleared when the number of unread bytes of RX-FIFO drops below the threshold level (RFITL). 0 1 read-only RLS_IS Receive Line Interrupt Status Flag (Read Only).\nThis bit is set when the RX received data has parity error (UART_FSR [PE_F]), framing error (UART_FSR [FE_F]), break error (UART_FSR [BI_F]) or RS-485 detect address byte (UART_TRSR [RS-485_ADDET_F]).If IER [RLS_IEN] is set then the RLS interrupt will be generated.\nNote1: This bit is read only, but can be cleared by it by writing "1" to UART_FSR [BI_F], UART_FSR [FE_F], UART_FSR [PE_F] or UART_TRSR [RS-485_ADDET_F].\nNote2: This bit is cleared when both the BI_F, FE_F, PE_F and RS-485_ADDET_F are cleared. 2 1 read-only RTO_IS RX Time-Out Interrupt Status Flag (Read Only)\nThis bit is set when the RX-FIFO is not empty and no activities occur in the RX-FIFO and the time-out counter equal to TOIC. If IER [Tout_IEN] is set then the tout interrupt will be generated. \nNote: This bit is read only and user can read UART_RBR (RX is in active) to clear it. 4 1 read-only THRE_IS Transmit Holding Register Empty Interrupt Flag (Read Only). \nThis bit is set when the last data of TX-FIFO is transferred to Transmitter Shift Register. If IER [THRE_IEN] is set that the THRE interrupt will be generated.\nNote: This bit is read only and it will be cleared when writing data into THR (TX-FIFO not empty). 1 1 read-only WAKE_IS Wake-Up Interrupt Status Flag (Read Only)\nThis bit is set in Power-down mode, the receiver received data or CTSn signal. If IER [WAKE_IE] is set then the wake-up interrupt will be generated.\nNote: This bit is read only, but can be cleared by it by writing "1" to it. 6 1 read-only UART_MCSR UART_MCSR UART Modem State Status Register. 0x1C read-write n 0x0 0x0 CTS_ST CTSn Pin Status (Read Only)\nThis bit is the pin status of CTSn. 17 1 read-only DCT_F Detect CTSn State Change Status Flag (Read Only)\nThis bit is set whenever CTSn input has change state, and it will generate Modem interrupt to CPU when UART_IER [Modem_IEN].\nNote: This bit is read only, but it can be cleared by writing "1" to it. 18 1 read-only LEV_CTS CTSn Trigger Level 16 1 read-write 0 Low level triggered #0 1 High level triggered #1 LEV_RTS RTSn Trigger Level 0 1 read-write 0 low level triggered #0 1 high level triggered #1 RTS_ST RTSn Pin State (Read Only)\nThis bit is the pin status of RTSn. 1 1 read-only UART_RBR UART_RBR UART Receive Buffer Register. 0x0 read-only n 0x0 0x0 RBR Receive Buffer Register\nBy reading this register, the UART will return an 8-bit data received from RX pin (LSB first). 0 8 read-only UART_THR UART_THR UART Transmit Holding Register. UART_RBR 0x0 write-only n 0x0 0x0 THR Transmit Holding Register\nBy writing to this register, the UART will send out an 8-bit data through the TX pin (LSB first). 0 8 write-only UART_TLCTL UART_TLCTL UART Transfer Line Control Register. 0x8 read-write n 0x0 0x0 BCB Break Control Bit \nWhen this bit is set to logic "1", the serial data output (TX) is forced to the Spacing State (logic "0"). This bit acts only on TX pin and has no effect on the transmitter logic. 6 1 read-write DATA_LEN Data Length 0 2 read-write EPE Even Parity Enable\nNote: This bit has effect only when PBE bit (parity bit enable) is set. 4 1 read-write 0 Odd number of logic 1's are transmitted or check the data word and parity bits in receiving mode #0 1 Even number of logic 1's are transmitted or check the data word and parity bits in receiving mode #1 NSB Number of STOP Bit Length 2 1 read-write 0 1 " STOP bit" is generated in the transmitted data #0 1 1.5 "STOP bit" is generated in the transmitted data when 5-bit word length is selected, and 2 STOP bit" is generated when 6, 7 and 8 bits data length is selected #1 PBE Parity Bit Enable 3 1 read-write 0 Parity bit is not generated (transmitting data) or checked (receiving data) during transfer #0 1 Parity bit is generated or checked bet"een the "last data"word "it" and "stop bit" of the serial data #1 RFITL RX-FIFO Interrupt (INT_RDA) Trigger Level 8 2 read-write RTS_TRI_LEV RTSn Trigger Level (For Auto-flow Control Use) 12 2 read-write SPE Stick Parity Enable 5 1 read-write 0 Stick parity Disabled #0 1 When bits PBE, EPE and SPE are set, the parity bit is transmitted and checked as "0". When PBE and SPE are set and EPE is cleared, the parity bit is transmitted and checked as "1". In RS-485 mode, PBE, EPE and SPE can control bit 9, the bit 9 setting are shown as follows #1 UART_TMCTL UART_TMCTL UART Time-Out Control State Register. 0x20 read-write n 0x0 0x0 DLY TX Delay Time Value\nThis field is use to program the transfer delay time between the last stop bit leaving the TX-FIFO and the de-assertion of by setting UART_TOR [DLY] register.\n\n\nNote1: Fill all "0" to this field indicates to disable this function.\nNote2: The real delay value is DLY.\nNote3: The counting clock is baud rate clock. 16 8 read-write TOIC Time-Out Comparator\nNote1: Fill all "0" to this field indicates to disable this function.\nNote2: The real time-out value is TOIC + 1.\nNote3: The counting clock is baud rate clock. 0 9 read-write UART_TRSR UART_TRSR UART Transfer State Status Register. 0x14 read-write n 0x0 0x0 ABAUD_F Auto-Baud Rate Interrupt (Read Only)\nThis bit is set to logic "1" when auto-baud rate detect function finished.\nNote: This bit is read only, but can be cleared by writing "1" to it. 1 1 read-only ABAUD_TOUT_F Auto-Baud Rate Time-Out Interrupt (Read Only)\nThis bit is set to logic "1" in Auto-baud Rate Detect mode and the baud rate counter is overflow.\nNote: This bit is read only, but can be cleared by writing "1" to it. 2 1 read-only BIT_ERR_F Bit Error Detect Status Flag (Read Only)\nAt TX transfer state, hardware will monitoring the bus state, if the input pin (SIN) state is not equal to the output pin (SOUT) state, BIT_ERR_F will be set.\nWhen occur bit error, hardware will generate an interrupt to CPU (INT_LIN).\nNote1: This bit is read only, but it can be cleared by writing "1" to it. 5 1 read-only LIN_RX_F LIN RX Interrupt Flag (Read Only)\nThis bit is set to logic "1" when received LIN header field. The header may be "break field" or "break field + sync field" or "break field + sync field + PID field", and it can be choose by setting UART_ALT_CTL [LIN_HEAD_SEL] register.\nIf the field includes "break field", when the receiver received break field then the LIN_RX_F will be set. The controller will receive next data and put it in FIFO.\nIf the field includes "break field + sync field", hardware will wait for the flag LIN_RX_F in UART_TRSR to check RX received break field and sync field. If the break and sync field is received, hardware will set UART_TRSR [LIN_RX_F] flag, and if the break is received but the sync field does not equal 0x55, then hardware will set UART_TRSR [LIN_RX_F] and UART_TRSR [LIN_RX_SYNC_ERR_F] flag. The break and sync data (equals 0x55 or not) will not be stored in FIFO.\nIf the field includes "break field + sync field + PID field", In this operation mode, hardware will control data automatically. Hardware will ignore any data until received break + sync (0x55) + PID value match the UART_ALT_CTL [ADDR_MATCH] value (break + sync + PID will not be stored in FIFO). When received break + sync (0x55) + PID value match the UART_ALT_CTL [ADDR_MATCH] value, hardware will set UART_TRSR [LIN_RX_F] and the following all data will be accepted and stored in the RX-FIFO until detect next break field. If the receiver received break + wrong sync (not equal 0x55) + PID value, hardware will set UART_TRSR [LIN_RX_F] and UART_TRSR [LIN_RX_SYNC_ERR_F] flag and the receiver will be disabled. If the receiver received break + sync (0x55) + wrong PID value, hardware will set UART_TRSR [LIN_RX_F] flag and the receiver will be disabled. \nNote: This bit is read only, but can be cleared by writing "1" to it. 4 1 read-only LIN_RX_SYNC_ERR_F LIN RX SYNC Error Flag (Read Only)\nThis bit is set to logic "1" when LIN received incorrect SYNC field. \nUser can choose the header by setting UART_ALT_CTL [LIN_HEAD_SEL] register.\nIf the field includes "break field + sync field" and if the sync data does not equal 0x55, the LIN_RX_F and LIN_RX_SYNC_ERR_F will be set and the wrong sync data will be ignored. The controller will receive next data and put it in FIFO. \nIf the field includes "break field + sync field + PID field" and if the sync data does not equal 0x55, the LIN_RX_F and LIN_RX_SYNC_ERR_F will be set and the wrong sync data will be ignored. The controller will receive next data and put it in FIFO. \nNote: This bit is read only, but can be cleared by writing "1" to LIN_RX_F. 8 1 read-only LIN_TX_F LIN TX Interrupt Flag (Read Only)\nThis bit is set to logic "1" when LIN transmitted header field. The header may be "break field" or "break field + sync field" or "break field + sync field + PID field", it can be choose by setting UART_ALT_CTL[LIN_HEAD_SEL] register.\nNote: This bit is read only, but can be cleared by writing "1" to it. 3 1 read-only RS_485_ADDET_F RS-485 Address Byte Detection Status Flag (Read Only)\nNote1: This field is used for RS-485 mode.\nNote2: This bit is read only, but can be cleared by writing "1" to it. 0 1 read-only USB USB Register Map USB 0x0 0x0 0x2C registers n 0x30 0xC registers n 0x40 0xC registers n 0x50 0xC registers n 0x60 0xC registers n 0x70 0xC registers n 0x80 0xC registers n 0x90 0xC registers n 0xA0 0x8 registers n BIST USB_BIST USB Buffer Self Test Control Register 0xA0 read-write n 0x0 0x0 BUFSEG USB_BUFSEG Setup Token Buffer Segmentation Register 0x18 read-write n 0x0 0x0 BUFSEG None 3 6 read-write BUFSEG0 USB_BUFSEG0 Endpoint 0 Buffer Segmentation Register 0x20 read-write n 0x0 0x0 BUFSEG Refer to the section 5.4.3.3 for the endpoint SRAM structure and its description. 3 6 read-write BUFSEG1 USB_BUFSEG1 Endpoint 1 Buffer Segmentation Register 0x30 read-write n 0x0 0x0 BUFSEG2 USB_BUFSEG2 Endpoint 2 Buffer Segmentation Register 0x40 read-write n 0x0 0x0 BUFSEG3 USB_BUFSEG3 Endpoint 3 Buffer Segmentation Register 0x50 read-write n 0x0 0x0 BUFSEG4 USB_BUFSEG4 Endpoint 4 Buffer Segmentation Register 0x60 read-write n 0x0 0x0 BUFSEG5 USB_BUFSEG5 Endpoint 5 Buffer Segmentation Register 0x70 read-write n 0x0 0x0 BUFSEG6 USB_BUFSEG6 Endpoint 6 Buffer Segmentation Register 0x80 read-write n 0x0 0x0 BUFSEG7 USB_BUFSEG7 Endpoint 7 Buffer Segmentation Register 0x90 read-write n 0x0 0x0 BUSSTS USB_BUSSTS USB Bus Status Register 0x4 read-only n 0x0 0x0 FLDET Device Floating Detection 4 1 read-only 0 The controller didn't attach into the USB #0 1 When the controller is attached into the USB, this bit will be set as "1" #1 RESUME Resume Status 2 1 read-only 1 Resume from suspend. It is read only #1 SUSPEND Suspend Status 1 1 read-only 1 Bus idle more than 3 ms, either cable is plugged off or host is sleeping. It is read only #1 TIMEOUT Time-out Flag 3 1 read-only 1 Bus no any response more than 18 bits time. It is read only #1 USBRST USB Reset Status 0 1 read-only 1 Bus reset when SE0 (single-ended 0) more than 2.5uS. It is read only #1 CFG0 USB_CFG0 Endpoint 0 Configuration Register 0x28 read-write n 0x0 0x0 CSTALL Clear STALL Response 8 1 read-write 0 Disable the device to clear the STALL handshake in setup stage #0 1 Clear the device to response STALL handshake in setup stage #1 DSQ_SYNC Data Sequence Synchronization\nIt is used to specify the DATA0 or DATA1 PID in the current transaction. It will toggle automatically in IN token after host response ACK. In the other tokens, the user shall take care of it to confirm the right PID in its transaction. 7 1 read-write 0 DATA0 PID #0 1 DATA1 PID #1 EPMODE Endpoint Mode\n 5 2 read-write EP_NUM Endpoint Number\nThese bits are used to define the endpoint number of the current endpoint 0 4 read-write ISOCH Isochronous Endpoint\nThis bit is used to set the endpoint as Isochronous endpoint, no handshake. 4 1 read-write SSTALL Set STALL Response 9 1 read-write 0 Disable the device to response STALL #0 1 Set the device to respond STALL automatically #1 CFG1 USB_CFG1 Endpoint 1 Configuration Register 0x38 read-write n 0x0 0x0 CFG2 USB_CFG2 Endpoint 2 Configuration Register 0x48 read-write n 0x0 0x0 CFG3 USB_CFG3 Endpoint 3 Configuration Register 0x58 read-write n 0x0 0x0 CFG4 USB_CFG4 Endpoint 4 Configuration Register 0x68 read-write n 0x0 0x0 CFG5 USB_CFG5 Endpoint 5 Configuration Register 0x78 read-write n 0x0 0x0 CFG6 USB_CFG6 Endpoint 6 Configuration Register 0x88 read-write n 0x0 0x0 CFG7 USB_CFG7 Endpoint 7 Configuration Register 0x98 read-write n 0x0 0x0 CTL USB_CTL USB Control Register 0x0 read-write n 0x0 0x0 DPPU_EN Pull-Up Resistor on USB_DP Enable 3 1 read-write 0 Pull-up resistor in USB_DP bus Disabled #0 1 Pull-up resistor in USB_DP bus will be active #1 DRVSE0 Force USB PHY Transceiver to Drive SE0 (Single Ended Zero)\nThe Single Ended Zero is present when both lines (USB_DP, USB_DM) are being pulled low.\nThe default value is "1". 4 1 read-write 0 None #0 1 Force USB PHY transceiver to drive SE0 #1 PHY_EN PHY Transceiver Enable 1 1 read-write 0 PHY transceiver Disabled #0 1 PHY transceiver Enabled #1 PWRDB Power down PHY Transceiver, Low Active 2 1 read-write 0 Power-down related circuit of PHY transceiver #0 1 Turn-on related circuit of PHY transceiver #1 RWAKEUP Remote Wake-up 8 1 read-write 0 Don't force USB bus to K state #0 1 Force USB bus to K (USB_DP low, USB_DM: high) state, used for remote wake-up #1 USB_EN USB Function Enable 0 1 read-write 0 USB Disabled #0 1 USB Enabled #1 WAKEUP_EN Wake-Up Function Enable 9 1 read-write 0 USB wake-up function Disabled #0 1 USB wake-up function Enabled #1 EPSTS USB_EPSTS Endpoint Status Register 0x14 read-only n 0x0 0x0 EPSTS0 Endpoint 0 Bus Status 8 4 read-only EPSTS1 Endpoint 1 Bus Status 12 4 read-only EPSTS2 Endpoint 2 Bus Status 16 4 read-only EPSTS3 Endpoint 3 Bus Status 20 4 read-only EPSTS4 Endpoint 4 Bus Status 24 4 read-only EPSTS5 Endpoint 5 Bus Status 28 4 read-only OVERRUN Overrun\nIt means the received data is over the maximum payload number or not. 7 1 read-only 0 No overrun #0 1 Out Data more than the Max Payload in MXPLD register or the Setup Data more than 8 Bytes #1 EPSTS2 USB_EPSTS2 Endpoint Bus Status 0x1C read-only n 0x0 0x0 EPSTS6 Endpoint 6 Bus Status 0 3 read-only EPSTS7 Endpoint 7 Bus Status 4 3 read-only FADDR USB_FADDR Device 's Function Address Register 0x10 read-write n 0x0 0x0 FADDR USB device's function address 0 7 read-write INTEN USB_INTEN Interrupt Enable Register 0x8 read-write n 0x0 0x0 BUSEVT_IE Bus Event Interrupt Enable 0 1 read-write 0 BUS event interrupt Disabled #0 1 BUS event interrupt Enabled #1 FLDET_IE Floating Detect Interrupt Enable 2 1 read-write 0 Floating detect Interrupt Disabled #0 1 Floating detect Interrupt Enabled #1 USBEVT_IE USB Event Interrupt Enable 1 1 read-write 0 USB event interrupt Disabled #0 1 USB event interrupt Enabled #1 WAKEUP_IE USB Wake-up Interrupt Enable 3 1 read-write 0 Wake-up Interrupt Disabled #0 1 Wake-up Interrupt Enabled #1 INTSTS USB_INTSTS Interrupt Event Status Register 0xC read-write n 0x0 0x0 BUS_STS BUS Interrupt Status\nThe BUS event means there is bus suspense or bus resume in the bus. This bit is used to indicate that there is one of events in the bus. 0 1 read-write 0 No BUS event is occurred #0 1 BUS event occurred; check USB_BUSSTS [3:0] to know which kind of bus event was occurred, cleared by write "1" to USB_INTSTS [0] #1 EPEVT0 USB Event Status on EP0 16 1 read-write 0 No event occurred in Endpoint 0 #0 1 USB event occurred on Endpoint 0, check USB_EPSTS[11:8] to know which kind of USB event was occurred, cleared by write "1" to USB_INTSTS [16] or USB_INTSTS [1] #1 EPEVT1 USB Event Status on EP1 17 1 read-write 0 No event occurred in Endpoint 1 #0 1 USB event occurred on Endpoint 1, check USB_EPSTS[15:12] to know which kind of USB event was occurred, cleared by write "1" to USB_INTSTS [17] or USB_INTSTS [1] #1 EPEVT2 USB Event Status on EP2 18 1 read-write 0 No event occurred in Endpoint 2 #0 1 USB event occurred on Endpoint 2, check USB_EPSTS[19:16] to know which kind of USB event was occurred, cleared by write "1" to USB_INTSTS [18] or USB_INTSTS [1] #1 EPEVT3 USB Event Status on EP3 19 1 read-write 0 No event occurred in Endpoint 3 #0 1 USB event occurred on Endpoint 3, check USB_EPSTS[23:20] to know which kind of USB event was occurred, cleared by write "1" to USB_INTSTS [19] or USB_INTSTS [1] #1 EPEVT4 USB Event Status on EP4 20 1 read-write 0 No event occurred in Endpoint 4 #0 1 USB event occurred on Endpoint 4, check USB_EPSTS[27:24] to know which kind of USB event was occurred, cleared by write "1" to USB_INTSTS [20] or USB_INTSTS [1] #1 EPEVT5 USB Event Status on EP5 21 1 read-write 0 No event occurred in Endpoint 5 #0 1 USB event occurred on Endpoint 5, check USB_EPSTS[31:28] to know which kind of USB event was occurred, cleared by write "1" to USB_INTSTS [21] or USB_INTSTS [1] #1 EPEVT6 USB Event Status on EP6 22 1 read-write 0 No event occurred in Endpoint 6 #0 1 USB event occurred on Endpoint 6, check USB_EPSTS2[2:0] to know which kind of USB event was occurred, cleared by write "1" to USB_INTSTS [22] or USB_INTSTS [1] #1 EPEVT7 USB Event Status on EP7 23 1 read-write 0 No event occurred in Endpoint 7 #0 1 USB event occurred on Endpoint 7, check USB_EPSTS2[6:4] to know which kind of USB event was occurred, cleared by write "1" to USB_INTSTS [23] or USB_INTSTS [1] #1 FLD_STS Floating Interrupt Status 2 1 read-write 0 There is not attached event in the USB #0 1 There is attached event in the USB and it is cleared by write "1" to USB_INTSTS [2] #1 SETUP Setup Event Status 31 1 read-write 0 No Setup event #0 1 Setup event occurred, cleared by write "1" to USB_INTSTS[31] #1 USB_STS USB Interrupt Status\nThe USB event means that there is Setup Token, IN token, OUT ACK, ISO IN, or ISO OUT event in the bus. This bit is used to indicate that there is one of events in the bus. 1 1 read-write 0 No USB event is occurred #0 1 USB event occurred, check EPSTS0~7[3:0] in USB_EPSTS [31:8] to know which kind of USB event was occurred, cleared by write "1" to USB_INTSTS [1] or USB_INTSTS[31] or EPEVT0~7 #1 WKEUP_STS Wake-up Interrupt Status 3 1 read-write 0 No wake-up event is occurred #0 1 Wake-up event occurred, cleared by write 1 to USB_INTSTS [3] #1 MXPLD0 USB_MXPLD0 Endpoint 0 Maximal Payload Register 0x24 read-write n 0x0 0x0 MXPLD Maximal Payload\nIt is used to define the length of data which is transmitted to host (IN token) or the actual length of data receiving from host (OUT token). It also used to indicate that the endpoint is ready to be transmitted in IN token or received in OUT token.\n(1). When the register is written by CPU, \nFor IN token, the value of MXPLD is used to define the length of data to be transmitted and indicate the data buffer is ready.\nFor OUT token, it means that the controller is ready to receive data from host and the value of MXPLD is the maximal data length comes from host.\n(2). When the register is read by CPU,\nFor IN token, the value of MXPLD is indicated the length of data be transmitted to host\nFor OUT token, the value of MXPLD is indicated the actual length of data receiving from host.\nNote: Once MXPLD is written, the data packets will be transmitted/received immediately after IN/OUT token arrived. 0 9 read-write MXPLD1 USB_MXPLD1 Endpoint 1 Maximal Payload Register 0x34 read-write n 0x0 0x0 MXPLD2 USB_MXPLD2 Endpoint 2 Maximal Payload Register 0x44 read-write n 0x0 0x0 MXPLD3 USB_MXPLD3 Endpoint 3 Maximal Payload Register 0x54 read-write n 0x0 0x0 MXPLD4 USB_MXPLD4 Endpoint 4 Maximal Payload Register 0x64 read-write n 0x0 0x0 MXPLD5 USB_MXPLD5 Endpoint 5 Maximal Payload Register 0x74 read-write n 0x0 0x0 MXPLD6 USB_MXPLD6 Endpoint 6 Maximal Payload Register 0x84 read-write n 0x0 0x0 MXPLD7 USB_MXPLD7 Endpoint 7 Maximal Payload Register 0x94 read-write n 0x0 0x0 PDMA USB_PDMA USB PDMA Control Register 0xA4 read-write n 0x0 0x0 BYTEM CPU access USB SRAM Size Mode Select 2 1 read-write 0 Word Mode: The size of the transfer from CPU to USB SRAM is Word order #0 1 Byte Mode: The size of the transfer from CPU to USB SRAM is Byte order #1 PDMA_RST PDMA Reset\nIt is used to reset the USB PDMA function into default state. \nNote: it is auto cleared to 0 after the reset function done. 3 1 read-write 0 No Reset PDMA Reset Disable #0 1 Reset the PDMA function in this controller #1 PDMA_RW PDMA_RW 0 1 read-write 0 The PDMA will read data from memory to USB buffer #0 1 The PDMA will read data from USB buffer to memory #1 PDMA_TRG Active PDMA Function\nThis bit will be automatically cleared after PDMA transfer done. 1 1 read-write 0 The PDMA function is not active #0 1 The PDMA function in USB is active #1 VDMA VDMA Register Map VDMA 0x0 0x0 0x10 registers n 0x14 0x14 registers n 0x2C 0x8 registers n 0x80 0x8 registers n BCR VDMA_BCR VDMA Transfer Byte Count Register 0xC read-write n 0x0 0x0 VDMA_BCR VDMA Transfer Byte Count Register\nThis field indicates a 16-bit transfer byte count of VDMA. 0 16 read-write BUF0 VDMA_BUF0 VDMA Internal Buffer FIFO 0 0x80 read-only n 0x0 0x0 VDMA_BUF0 VDMA Internal Buffer FIFO 0 (Read Only)\nVDMA channel has its own 2 words internal buffer. 0 32 read-only BUF1 VDMA_BUF1 VDMA Internal Buffer FIFO 1 0x84 read-only n 0x0 0x0 VDMA_BUF1 VDMA Internal Buffer FIFO 1 (Read Only)\nVDMA channel has its own 2 words internal buffer. 0 32 read-only CBCR VDMA_CBCR VDMA Current Transfer Byte Count Register 0x1C read-only n 0x0 0x0 VDMA_CBCR VDMA Current Byte Count Register (Read Only)\nThis field indicates the current remained byte count of VDMA. 0 16 read-only CDAR VDMA_CDAR VDMA Current Destination Address Register 0x18 read-only n 0x0 0x0 VDMA_CDAR VDMA Current Destination Address Register (Read Only)\nThis field indicates the destination address where the VDMA transfer is just occurring. 0 32 read-only CSAR VDMA_CSAR VDMA Current Source Address Register 0x14 read-only n 0x0 0x0 VDMA_CSAR VDMA Current Source Address Register (Read Only)\nThis field indicates the source address where the VDMA transfer is just occurring. 0 32 read-only CSR VDMA_CSR VDMA Control Register 0x0 read-write n 0x0 0x0 DIR_SEL Transfer Source/Destination Address Direction Select 11 1 read-write 0 Transfer address is incremented successively #0 1 Transfer address is decremented successively #1 STRIDE_EN Stride Mode Enable 10 1 read-write 0 Stride transfer mode Disabled #0 1 Stride transfer mode Enabled #1 SW_RST Software Engine Reset 1 1 read-write 0 No effect #0 1 Reset the internal state machine and pointers. The contents of control register will not be cleared. This bit will be auto cleared after few clock cycles #1 TRIG_EN TRIG_EN\nNote1: When VDMA transfer is completed, this bit will be cleared automatically.\nNote2: If the bus error occurs, all VDMA transfer will be stopped. Software must reset all VDMA channel, and then trig again. 23 1 read-write 0 No effect #0 1 VDMA data read or write transfer Enabled #1 VDMACEN VDMA Channel Enable Setting this bit to 1 enables VDMA's operation. If this bit is cleared, VDMA will ignore all VDMA request and force Bus Master into IDLE state. Note: SW_RST will clear this bit. 0 1 read-write DAR VDMA_DAR VDMA Destination Address Register 0x8 read-write n 0x0 0x0 VDMA_DAR VDMA Transfer Destination Address Register\nThis field indicates a 32-bit destination address of VDMA. 0 32 read-write DASOCR VDMA_DASOCR VDMA Destination Address Stride Offset Register 0x30 read-write n 0x0 0x0 DASTOBL VDMA Destination Address Stride Offset Byte Length\nThe 16-bit register defines the destination address stride transfer offset count of each row. 0 16 read-write IER VDMA_IER VDMA Interrupt Enable Register 0x20 -1 read-write n 0x0 0x0 TABORT_IE VDMA Read/Write Target Abort Interrupt Enable 0 1 read-write 0 Disabled target abort interrupt generation during VDMA transfer #0 1 Enabled target abort interrupt generation during VDMA transfer #1 TD_IE VDMA Transfer Done Interrupt Enable 1 1 read-write 0 Disabled interrupt generator during VDMA transfer done #0 1 Enabled interrupt generator during VDMA transfer done #1 ISR VDMA_ISR VDMA Interrupt Status Register 0x24 read-write n 0x0 0x0 TABORT_IS VDMA Read/Write Target Abort Interrupt Status Flag Note1: This bit is cleared by writing 1 to itself. Note2: The VDMA_ISR [TABORT_IF] indicate bus master received ERROR response or not, if bus master received occur it means that target abort is happened. VDMA controller will stop transfer and respond this event to software then go to IDLE state. When target abort occurred, software must reset VDMA controller, and then transfer those data again. 0 1 read-write 0 No bus ERROR response received #0 1 Bus ERROR response received #1 TD_IS Transfer Done Interrupt Status Flag This bit indicates that VDMA has finished all transfer. Note: This bit is cleared by writing 1 to itself. 1 1 read-write 0 Not finished yet #0 1 Done #1 SAR VDMA_SAR VDMA Source Address Register 0x4 read-write n 0x0 0x0 VDMA_SAR VDMA Transfer Source Address Register\nThis field indicates a 32-bit source address of VDMA. 0 32 read-write SASOCR VDMA_SASOCR VDMA Source Address Stride Offset Register 0x2C read-write n 0x0 0x0 SASTOBL VDMA Source Address Stride Offset Byte Length\nThe 16-bit register defines the source address stride transfer offset count of each row. 0 16 read-write STBC VDMA Stride Transfer Byte Count\nThe 16-bit register defines the stride transfer byte count of each row. 16 16 read-write WDT WDT Register Map WDT 0x0 0x0 0xC registers n CTL WDT_CTL Watchdog Timer Control Register 0x0 read-write n 0x0 0x0 WTE Watchdog Timer Enable\nThis is a protected register. Please refer to open lock sequence to program it. 3 1 read-write 0 Watchdog timer Disabled (this action will reset the internal counter) #0 1 Watchdog timer Enabled #1 WTIS Watchdog Timer Interval Selection\nThis is a protected register. Please refer to open lock sequence to program it.\nThese three bits select the time-out interval for the Watchdog timer. This count is free running counter.\nPlease refer to 4 3 read-write WTR Clear Watchdog Timer \nThis is a protected register. Please refer to open lock sequence to program it.\nSet this bit will clear the Watchdog timer. \nNote: This bit will be auto cleared after few clock cycles. 0 1 read-write 0 No effect #0 1 Reset the contents of the Watchdog timer #1 WTRDSEL Watchdog Timer Reset Delay Select 8 2 read-write WTRE Watchdog Timer Reset Function Enable\nThis is a protected register. Please refer to open lock sequence to program it.\nSetting this bit will enable the Watchdog timer reset function. 1 1 read-write 0 Watchdog timer reset function Disabled #0 1 Watchdog timer reset function Enabled #1 WTWKE Watchdog Timer Wake-Up Function Enable\nThis is a protected register. Please refer to open lock sequence to program it. 2 1 read-write 0 Watchdog timer Wake-up CPU function Disabled #0 1 Wake-up function Enabled so that Watchdog timer time-out can wake up CPU from power-down mode #1 IER WDT_IER Watchdog Timer Interrupt Enable Register 0x4 read-write n 0x0 0x0 WDT_IE Watchdog Timer Interrupt Enable 0 1 read-write 0 Watchdog timer interrupt Disabled #0 1 Watchdog timer interrupt Enabled #1 ISR WDT_ISR Watchdog Timer Interrupt Status Register 0x8 read-write n 0x0 0x0 WDT_IS Watchdog Timer Interrupt Status \nIf the Watchdog timer interrupt is enabled, then the hardware will set this bit to indicate that the Watchdog timer interrupt has occurred. If the Watchdog timer interrupt is not enabled, then this bit indicates that a time-out period has elapsed.\nNote: This bit is read only, but can be cleared by writing "1" to it. 0 1 read-write 0 Watchdog timer interrupt did not occur #0 1 Watchdog timer interrupt occurs #1 WDT_RST_IS Watchdog Timer Reset Status \nWhen the Watchdog timer initiates a reset, the hardware will set this bit. This flag can be read by software to determine the source of reset. Software is responsible to clear it manually by writing "1" to it. If WTRE is disabled, then the Watchdog timer has no effect on this bit.\nNote: This bit is read only, but can be cleared by writing "1" to it. 1 1 read-write 0 Watchdog timer reset did not occur #0 1 Watchdog timer reset occurs #1 WDT_WAKE_IS Watchdog Timer Wake-up Status \nIf Watchdog timer causes system to wake up from power-down mode, this bit will be set to high. It must be cleared by software with a write "1" to this bit.\nNote1: When system in power-down mode and watchdog time-out, hardware will set WDT_WAKE_IS and WDT_IS.\nNote2: After one engine clock, this bit can be cleared by writing "1" to it 2 1 read-write 0 Watchdog timer does not cause system wake-up #0 1 Wake system up from power-down mode by Watchdog time-out #1 WWDT WWDT Register Map WWDT 0x0 0x0 0x14 registers n IER WWDT_IER Window Watchdog Timer Interrupt Enable Register 0x8 read-write n 0x0 0x0 WWDTIE WWDT Interrupt Enable\nSetting this bit will enable the Watchdog timer interrupt function. 0 1 read-write 0 Watchdog timer interrupt function Disabled #0 1 Watchdog timer interrupt function Enabled #1 WWDTCR WWDTCR Window Watchdog Timer Control Register 0x4 read-write n 0x0 0x0 DBGEN WWDT Debug Enable 31 1 read-write 0 WWDT stopped count if system is in Debug mode #0 1 WWDT still counted even system is in Debug mode #1 PERIODSEL WWDT Pre-scale Period Select\nThese three bits select the pre-scale for the WWDT counter period.\nPlease refer to Table 5 17 8 4 read-write WINCMP WWDT Window Compare Register\nSet this register to adjust the valid reload window. \nNote: SW only can write WWDTRLD when WWDT counter value between 0 and WINCMP. If SW writes WWDTRLD when WWDT counter value larger than WWCMP, WWDT will generate RESET signal. 16 6 read-write WWDTEN Window Watchdog Enable\nSet this bit to enable Window Watchdog timer. 0 1 read-write 0 Window Watchdog timer function Disabled #0 1 Window Watchdog timer function Enabled #1 WWDTRLD WWDTRLD Window Watchdog Timer Reload Counter Register 0x0 write-only n 0x0 0x0 WWDTRLD Window Watchdog Timer Reload Counter Register\nWriting 0x00005AA5 to this register will reload the Window Watchdog Timer counter value to 0x3F. \nNote: SW only can write WWDTRLD when WWDT counter value between 0 and WINCMP. If SW writes WWDTRLD when WWDT counter value larger than WINCMP, WWDT will generate RESET signal. 0 32 write-only WWDTSTS WWDTSTS Window Watchdog Timer Status Register 0xC read-write n 0x0 0x0 WWDTIF WWDT Compare Match Interrupt Flag\nWhen WWCMP match the WWDT counter, then this bit is set to 1. This bit will be cleared by software write 1 to this bit. 0 1 read-write WWDTRF WWDT Reset Flag\nWhen WWDT counter down count to 0 or write WWDTRLD during WWDT counter larger than WINCMP, chip will be reset and this bit is set to 1. Software can write 1 to clear this bit to 0. 1 1 read-write WWDTVAL WWDTVAL Window Watchdog Timer Counter Value Register 0x10 read-only n 0x0 0x0 WWDTVAL WWDT Counter Value\nThis register reflects the counter value of window watchdog. This register is read only 0 6 read-only